Reactive Ion Beam Etching (i.e., Ribe) Patents (Class 438/712)
  • Patent number: 7375038
    Abstract: Methods for etching chromium and forming a photomask using a carbon hard mask are provided. In one embodiment, a method of a chromium layer includes providing a substrate in a processing chamber, the substrate having a chromium layer partially exposed through a patterned carbon hard mask layer, providing a process gas containing chlorine and carbon monoxide into the etching chamber, and maintaining a plasma of the process gas and etching the chromium layer through the carbon hard mask layer. The method of etching a chromium layer through a patterned carbon hard mask layer is useful for fabricating photomasks.
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: May 20, 2008
    Assignee: Applied Materials, Inc.
    Inventor: Ajay Kumar
  • Patent number: 7375028
    Abstract: A semiconductor device may be manufactured by a method that includes forming an etch stop layer on a semiconductor substrate, sequentially forming a first interlayer insulating layer, a first diffusion barrier, a second interlayer insulating layer, and a second diffusion barrier on the etch stop layer, forming a via hole exposing the etch stop layer by etching the second diffusion barrier, the second interlayer insulating layer, the first diffusion barrier, and the first interlayer insulating layer, forming a first trench overlapping the via hole by etching the second diffusion barrier and the second interlayer insulating layer, forming a second trench continuous to the first trench by etching the first diffusion barrier and part of the first interlayer insulating layer, and removing the etch stop layer exposed through the via hole, wherein the first and second trenches are etched under different dry etching conditions.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: May 20, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon-Bum Shim
  • Patent number: 7368392
    Abstract: A method of etching metals and/or metal-containing compounds using a plasma comprising a bromine-containing gas. In one embodiment, the method is used during fabrication of a gate structure of a field effect transistor having a titanium nitride gate electrode, an ultra-thin (about 10 to 20 Angstroms) silicon dioxide gate dielectric, and a polysilicon upper contact. In a further embodiment, the gate electrode is selectively notched to a pre-determined width.
    Type: Grant
    Filed: April 23, 2004
    Date of Patent: May 6, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Jinhan Choi, Shashank Deshmukh, Sang Yi, Kyeong-Tae Lee
  • Patent number: 7368396
    Abstract: A process for etching semiconductor substrates using a deep reactive ion etching process to produce through holes or slots (referred to collectively as “slots”) in the substrates. The process includes applying a first layer to a first surface of substrate to provide an etch mask material layer on the first surface of the substrate. A second layer is applied to a second surface of the substrate to provide an etch stop material layer on the second surface of the substrate. The first layer and the second layer have similar solubilities in one or more organic solvents. The substrate is etched from the first surface of the wafers to provide a slot in the substrate. After etching the substrate, the etch mask material layer and the etch stop material layer are removed by contacting the first surface and the second surface of the substrate with a single organic solvent.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: May 6, 2008
    Assignee: Lexmark International, Inc.
    Inventors: James M. Mrvos, Girish S. Patil, Karthik Vaideeswaran
  • Patent number: 7351664
    Abstract: A method for etching silicon layer of a substrate, which is deposited on a bottom electrode in a plasma processing chamber. The method includes performing a main etch step until at least 70 percent of silicon layer is etched. The method further includes an overetch step, which includes a first, second, and third process steps. The first process step employs a first process recipe, the second process step employs a second process recipe, and the third process step employs a third process recipe. The second process recipe employs a second bottom bias voltage level applied to the bottom electrode which is higher than the first bottom bias voltage level employs in the first process recipe and the third bottom bias voltage level employs in the third process recipe. The first, second, and third process steps are alternated a plurality of times until silicon layer is etched through.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: April 1, 2008
    Assignee: Lam Research Corporation
    Inventors: Tamarak Pandhumsoporn, Alferd Cofer, William Bosch
  • Patent number: 7348204
    Abstract: A method for fabricating a solid state imaging device comprising photoelectric conversion sections and charge transfer sections having single-layered charge transfer electrodes for transferring charges generated in the photoelectric conversion sections, the method including formation of the charge transfer electrodes, wherein the formation of the charge transfer electrodes comprises the steps of: forming a conductive film on a surface of a semiconductor substrate having formed thereon a gate oxide film; forming a mask pattern on the conductive film; forming interelectrode spacings in the conductive film using the mask pattern as a mask to make a patterned conductive film; and forming an insulating film to fill in the interelectrode spacings by vacuum chemical vapor deposition.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: March 25, 2008
    Assignee: Fujifilm Corporation
    Inventor: Hiroaki Takao
  • Patent number: 7344994
    Abstract: A process for etching semiconductor substrates using a deep reactive ion etching process to produce through holes or slots (hereinafter “slots”) in the substrates. The process includes applying a first layer to a back side of a substrate as a first etch stop material. The first layer is a relatively soft etch stop material. A second layer is applied to the first layer on the back side of the substrate to provide a composite etch stop layer. The second layer is a relatively hard etch stop material. The substrate is etched from a side opposite the back side of the substrate to provide a slot in the substrate.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: March 18, 2008
    Assignee: Lexmark International, Inc.
    Inventors: John W. Krawczyk, Andrew L. McNees, Christopher J. Money, Girish S. Patil, David B. Rhine, Karthik Vaideeswaran
  • Publication number: 20080050923
    Abstract: A method for etching a bevel edge of a substrate is provided. A patterned photoresist mask is formed over the etch layer. The bevel edge is cleaned comprising providing a cleaning gas comprising at least one of a CO2, CO, CxHy, H2, NH3, CxHyFz and a combination thereof, forming a cleaning plasma from the cleaning gas, and exposing the bevel edge to the cleaning plasma. Features are etched into the etch layer through the photoresist features and the photoresist mask is removed.
    Type: Application
    Filed: August 25, 2006
    Publication date: February 28, 2008
    Applicant: LAM Research Corporation
    Inventors: Yunsang Kim, Andrew Bailey, Jack Chen
  • Patent number: 7335590
    Abstract: In a method of fabricating a semiconductor device by selectively forming a diffusion barrier layer, and a semiconductor device fabricated thereby, a conductive pattern and an insulating layer, which covers the conductive pattern, are formed on a semiconductor substrate. The insulating layer is patterned, thereby forming an opening for exposing at least a portion of the conductive pattern. Then, a diffusion barrier layer is formed on the semiconductor substrate having the opening, using a selective deposition technique. The diffusion barrier layer is formed to a thickness that is less on the exposed conductive pattern than the thickness of the diffusion barrier layer on the insulating layer exposed inside the opening. Then, the diffusion barrier layer is etched, thereby forming a recessed diffusion barrier layer. In this manner, metal atoms are prevented from being diffused from a metal plug filling the opening or a metal interconnect to the insulating layer.
    Type: Grant
    Filed: January 11, 2005
    Date of Patent: February 26, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-Seok Suh, Ki-Chul Park, Seung-Man Choi, Il-Ryong Kim
  • Patent number: 7329608
    Abstract: The invention is embodied in a plasma flow device or reactor having a housing that contains conductive electrodes with openings to allow gas to flow through or around them, where one or more of the electrodes are powered by an RF source and one or more are grounded, and a substrate or work piece is placed in the gas flow downstream of the electrodes, such that said substrate or work piece is substantially uniformly contacted across a large surface area with the reactive gases emanating therefrom.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: February 12, 2008
    Assignee: The Regents of the University of California
    Inventors: Steven E. Babayan, Robert F. Hicks
  • Patent number: 7303996
    Abstract: A method for treating a gate structure comprising a high-K gate dielectric stack to improve electric performance characteristics including providing a gate dielectric layer stack including a binary oxide over a silicon substrate; forming a polysilicon layer over the gate dielectric layer stack; lithographically patterning and etching to form a gate structure; and, carrying out at least one plasma treatment of the gate structure comprising a plasma source gas selected from the group consisting of H2, N2, O2, and NH3.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: December 4, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Fang Wang, Tuo-Hung Hou, Kai-Lin Mai, Liang-Gi Yao, Shih-Chang Chen
  • Patent number: 7303997
    Abstract: Microbolometers with regionally thinned microbridges are produced by depositing a thin film (0.6 ?m) of silicon nitride on a silicon substrate, forming microbridges on the substrate, etching the thin film to define windows in a pixel area, thinning the windows, releasing the silicon nitride, depositing a conductive YBaCuO film on the bridges, depositing a conductive film (Au) on the YBaCuO film, and removing selected areas of the YBaCuO and conductive films.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: December 4, 2007
    Assignee: Her Majesty the Queen in Right of Canada as represented by the Minister of National Defence of Her Majesty's Canadian Government
    Inventors: Philips Laou, Merel Philippe
  • Publication number: 20070275563
    Abstract: Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks.
    Type: Application
    Filed: May 25, 2006
    Publication date: November 29, 2007
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Katherina Babich, Todd C. Bailey, Richard A. Conti, Ryan P. Deschner
  • Patent number: 7301161
    Abstract: A method of producing electron beam writing data in which a figure cell contained in the cell-based device pattern in electron beam lithography of character projection scheme is extracted as a character pattern is disclosed. The method comprises removing an overlap of pattern data included in the figure cell, producing a character pattern cutting frame from a cell allocation frame in the figure cell, assigning a figure inside of the produced character pattern cutting frame to a pattern to be shot in a character projection scheme as a character pattern, defining a figure outside of the character pattern cutting frame as a non-character pattern, removing an overlap between an adjacent pattern and the non-character pattern, and assigning a portion of the non-character pattern, which is not overlapped on the adjacent pattern to a pattern to be shot in a variable shaping beam scheme.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: November 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ryoichi Inanami
  • Patent number: 7294580
    Abstract: A method for etching a feature in a low-k dielectric layer through a photoresist etch mask over a substrate. A gas-modulated cyclic stripping process is performed for more than three cycles for stripping a single photoresist mask. Each cycle of the gas-modulated cyclic stripping process comprises performing a protective layer formation phase and a stripping phase. The protective layer forming phase using first gas chemistry with a deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.005 to 10 seconds for each cycle. The performing the stripping phase for stripping the photoresist mask using a second gas chemistry using a stripping gas chemistry, where the first gas chemistry is different than the second gas chemistry, wherein the etching phase is performed in about 0.005 to 10 seconds for each cycle.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: November 13, 2007
    Assignee: Lam Research Corporation
    Inventors: Seokmin Yun, Ji Zhu, Peter Cirigliano, Sangheon Lee, Thomas S. Choi, Peter Loewenhardt, Mark H. Wilcoxson, Reza Sadjadi, Eric A. Hudson, James V. Tietz
  • Patent number: 7291506
    Abstract: A method of manufacturing a magnetic memory device includes forming an insulation layer on a substrate, forming a lower electrode on the insulation layer, forming a magneto-resistive film on an upper surface of the lower electrode, the magneto-resistive film including an insulation barrier layer and a plurality of magnetic films stacked on both sides of the insulation barrier layer, stacking a mask layer on the magneto-resistive film, performing ion etching on the magneto-resistive film, using the mask layer as a mask, thereby forming a magneto-resistive element, forming an insulation film on upper surfaces of the mask, the magneto-resistive element and the lower electrode, and etching the insulation film with an ion beam such that a side surface of the magneto-resistive element is exposed.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: November 6, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Nakajima, Minoru Amano, Tomomasa Ueda, Shigeki Takahashi
  • Patent number: 7285226
    Abstract: A method of fabricating a fluid ejection device comprises providing a barrier layer which defines fluidic spaces. The fluidic spaces defined by the barrier layer are filled with filler. A throughway is etched through the substrate. The filler is removed from the fluidic spaces after etching the throughway.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: October 23, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Sadiq Bengali
  • Patent number: 7282550
    Abstract: The present invention includes a composition to form a layer on a substrate having uniform etch characteristics. To that end, the composition has a plurality of components, a subset of which has substantially similar rates of evaporation for an interval of time.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: October 16, 2007
    Assignee: Molecular Imprints, Inc.
    Inventors: Frank Y. Xu, Nicholas A. Stacey
  • Patent number: 7282448
    Abstract: A method of forming an opening through a substrate having a first side and a second side opposite the first side includes forming spaced etch stops in the first side of the substrate, etching into the substrate from the second side toward the first side to the spaced etch stops, and etching into the substrate between the spaced etch stops from the second side. Etching into the substrate to the spaced etch stops includes forming a first portion of the opening and etching into the substrate between the spaced etch stops includes forming a second portion of the opening.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: October 16, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Chien-Hua Chen, Donald W. Schulte, Terry E McMahon
  • Patent number: 7276450
    Abstract: Methods of etching a dielectric layer and a cap layer over a conductor to expose the conductor are disclosed. In one embodiment, the methods include the use of a silicon dioxide (SiO2) etching chemistry including octafluorocyclobutane (C4F8) and a titanium nitride (TiN) etching chemistry including tetrafluoro methane (CF4). The methods prevent etch rate degradation and exhibit reduced electro-static discharge (ESD) defects.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: October 2, 2007
    Assignee: International Business Machines Corporation
    Inventor: Joseph J. Mezzapelle
  • Patent number: 7265013
    Abstract: A structure fabrication method. The method comprises providing a structure which comprises (a) a to-be-etched layer, (b) a memory region, (c) a positioning region, (d) and a capping region on top of one another. Then, the positioning region is indented. Then, a conformal protective layer is formed on exposed-to-ambient surfaces of the structure. Then, portions of the conformal protective layer are removed so as to expose the capping region to the surrounding ambient without exposing the memory region to the surrounding ambient. Then, the capping region is removed so as to expose the positioning region to the surrounding ambient. Then, the positioning region is removed so as to expose the memory region to the surrounding ambient. Then, the memory region is directionally etched with remaining portions of the conformal protection layer serving as a blocking mask.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: September 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III, Kirk D. Peterson
  • Patent number: 7259104
    Abstract: A surface processing method of a sample having a mask layer that does not contain carbon as a major component formed on a substance to be processed, the substance being a metal, semiconductor and insulator deposited on a silicon substrate, includes the steps of installing the sample on a sample board in a vacuum container, generating a plasma that consists of a mixture of halogen gas and adhesive gas inside the vacuum container, applying a radio frequency bias voltage having a frequency ranging from 200 kHz to 20 MHz on the sample board, and controlling a periodic on-off of the radio frequency bias voltage with an on-off control frequency ranging from 100 Hz to 10 kHz.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: August 21, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Takafumi Tokunaga, Tadashi Umezawa, Motohiko Yoshigai, Tatsumi Mizutani, Tokuo Kure, Masayuki Kojima, Takashi Sato, Yasushi Goto
  • Patent number: 7256134
    Abstract: The present invention includes a process for selectively etching a low-k dielectric material formed on a substrate using a plasma of a gas mixture in a plasma etch chamber. The gas mixture comprises a fluorine-rich fluorocarbon or hydrofluorocarbon gas, a nitrogen-containing gas, and one or more additive gases, such as a hydrogen-rich hydrofluorocarbon gas, an inert gas and/or a carbon-oxygen gas. The process provides a low-k dielectric to a photoresist mask etching selectivity ratio greater than about 5:1, a low-k dielectric to a barrier/liner layer etching selectivity ratio greater about 10:1, and a low-k dielectric etch rate higher than about 4000 ?/min.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: August 14, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yunsang Kim, Neungho Shin, Heeyeop Chae, Joey Chiu, Yan Ye, Fang Tian, Xiaoye Zhao
  • Patent number: 7250373
    Abstract: A method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate using a gas mixture that includes a passivation gas. The passivation gas is provided to a peripheral region of the substrate to passivate sidewalls of the structures being etched.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: July 31, 2007
    Assignee: Applied Materials, Inc.
    Inventors: David Mui, Wei Liu
  • Patent number: 7247572
    Abstract: A method for fabricating a capacitor using a metal/insulator/metal (MIM) structure is disclosed. An example method for fabricating a capacitor using an MIM structure including a first metal layer, a dielectric layer, and a second metal layer etches the second metal layer and the dielectric layer in order and changes the etching conditions associated with the second metal layer prior to etching the dielectric layer.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: July 24, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Bo-Yeoun Jo
  • Publication number: 20070167022
    Abstract: The present invention relates to a method of fabricating a vertical probe head, whereas the vertical probe head is formed by the combination of at least a probe, a bottom guide plate and a top guide plate having at least a hole matching the probe. The probe is fabricated by a LIGA-like process combining with the processes of photolithography, etching and electroforming, and so on, so that the probe is equipped with comparatively better precision, strength and reliability and yet can be custom-made for satisfying various demands. In addition, both the top and bottom guide plates are made by a means of non-mechanical machining, which respectively is fabricated by processing a substrate using means of photolithography, etching and mask so as to fabricate holes for matching with the aforesaid probe.
    Type: Application
    Filed: December 20, 2006
    Publication date: July 19, 2007
    Inventors: Jiu-Shu Tsai, Min-Chieh Chou, Fuh-Yu Chang
  • Patent number: 7232762
    Abstract: A method of forming contact openings in a semiconductor device including providing a semiconducting substrate; forming an etch stop layer on said semiconducting substrate; forming a dielectric layer on said etch stop layer; forming a bottom anti-reflective coating (BARC) on said dielectric layer; forming and patterning a mask on said BARC layer; and, forming at least a first contact opening exposing said etch stop layer by a first etching process.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: June 19, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Der Chang, Yu-Ching Chang, Chien-Chih Chou, Yi-Tung Yen
  • Patent number: 7217666
    Abstract: A method for forming a high aspect ratio magnetic structure in a magnetic write head using a combination of chemical mechanical polishing and reactive ion etching.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: May 15, 2007
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Hung-Chin Guthrie, Ming Jiang, Jerry Lo, Aron Pentek, Yi Zheng
  • Patent number: 7211519
    Abstract: After an SiC film (4), an SiO2 film (5) and a silicon nitride film (6) are formed sequentially on an organic low dielectric constant film (3), by performing O2 plasma processing to a surface of the silicon nitride film (6), an oxide layer (7) is formed on the surface of the silicon nitride film (6). Then, a wiring trench pattern is formed on the silicon nitride film (6) and the oxide layer (7), and a resin layer (10) on which a via hole pattern is formed is formed. Subsequently, a portion of the oxide layer (7) exposed from the resin layer (10) is removed along with unnecessary particles.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: May 1, 2007
    Assignee: Fujitsu Limited
    Inventors: Yukio Takigawa, Noriyoshi Shimizu, Toshiya Suzuki, Hajime Kawabe
  • Patent number: 7205237
    Abstract: Apparatus for exposure and probing of features in a semiconductor workpiece includes a hollow concentrator for covering a portion of the workpiece connected by a gas conduit to a supply of etchant gas. A stage supports and positions the semiconductor workpiece. Control means moves the stage and the semiconductor workpiece to the series of positions sequentially. An energy beam source directs a focused energy beam through an aperture through the concentrator onto a region on the surface of the workpiece in the presence of the etchant gas. The control means moves the stage to a series of positions with respect to the concentrator and the energy beam to direct the energy beam in the presence of the etchant gas to expose a series of regions on the surface of the semiconductor workpiece positioned below the hollow interior space of the concentrator, sequentially.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: April 17, 2007
    Assignee: International Business Machines Corporation
    Inventors: Andrew Deering, Terence L. Kane, Philip V. Kaszuba, Leon Moszkowicz, Carmelo F. Scrudato, Michael Tenney
  • Patent number: 7202176
    Abstract: The present invention pertains to methods for removing unwanted material from a work piece. More specifically, the invention pertains to stripping photo-resist material and removing etch-related residues from a semiconductor wafer during semiconductor manufacturing. Methods involve implementing a hydrogen plasma operation with downstream mixing with an inert gas. The invention is effective at stripping photo-resist and removing residues from low-k dielectric material used in Damascene devices.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: April 10, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung, Prabhat Kumar Sinha
  • Patent number: 7202178
    Abstract: A method of micro-machining a semiconductor substrate to form through slots therein and substrates made by the method. The method includes providing a dry etching chamber having a platen for holding a semiconductor substrate. During an etching cycle of a dry etch process for the semiconductor substrate, a source power is decreased, a chamber pressure is decreased from a first pressure to a second pressure, and a platen power is increased from a first power to a second power. Through slots in the substrate provided by the method can have a reentrant profile for fluid flow therethrough.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: April 10, 2007
    Assignee: Lexmark International, Inc.
    Inventors: John W. Krawczyk, Andrew L. McNees, Richard L. Warner
  • Patent number: 7202181
    Abstract: Fabrication of a light emitting device includes etching of a substrate of the light emitting device. The etch may be an aqueous etch sufficient to increase an amount of light extracted through the substrate. The etch may be a direct aqueous etch of a silicon carbide substrate. The etch may remove damage from the substrate that results from other processing of the substrate, such as damage from sawing the substrate. The etch may remove an amorphous region of silicon carbide in the substrate.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: April 10, 2007
    Assignee: Cres, Inc.
    Inventor: Gerald H. Negley
  • Patent number: 7196016
    Abstract: A method for fabricating recording head sliders made from silicon substrates, is described. A Silicon wafer with a SiO2 overcoat is provided, and a layer of material which is resistant to Deep Reactive Ion Etching (DRIE) is deposited on the SiO2 overcoat. A patterned layer of material which is resistant to Reactive Ion Etching (RIE) is deposited on the layer of DRIE-resistant material to form a primary mask. RIE is used through the primary mask to pattern the SiO2 overcoat layer and the layer of DRIE-resistant material. The primary mask is then removing to expose the layer of DRIE-resistant material which has now been patterned to form a secondary mask. DRIE is then used through the secondary mask to cut the Si wafer into pieces. Finally, the secondary mask is removed.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: March 27, 2007
    Assignee: Hitachi Global Storage Technologies Netherlands, B.V.
    Inventors: Nicholas I. Buchan, Timothy C. Reiley
  • Patent number: 7196015
    Abstract: A pattern forming method includes: forming an etching-subject layer on a substrate; forming a Ti layer on the etching-subject layer; forming a TiOx layer by irradiating light on a portion of the Ti layer using a mask; etching the Ti layer to form a TiOx pattern; etching the etching-subject layer using the TiOx pattern as a mask; and removing the TiOx pattern.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: March 27, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Gee-Sung Chae, Gyoo-Chul Jo, Yong-Sup Hwang
  • Patent number: 7186660
    Abstract: The present invention relates to etch chemistry and methods for the etching of silicon substrates. The method is particularly useful for deep trench etching of silicon substrates and produces a trench having a high aspect ratio. In this type of deep trench etching, control of the profile of the trench is addressed by the etch chemistry disclosed herein. The etchant described in the present invention comprises silicon and a halogen component, and may be gaseous, liquid or solid. The etchant disclosed is also substantially free of hydrogen and carbon.
    Type: Grant
    Filed: January 8, 2003
    Date of Patent: March 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Siddhartha Panda, Richard S. Wise
  • Patent number: 7179702
    Abstract: A semiconductor device comprises a semiconductor substrate, an N-channel MISFET and a P-channel MISFET provided on the semiconductor substrate, each of the N- and P-channel MISFETs being isolated by an isolation region and having a gate insulating film, a first gate electrode film provided on the gate insulating film of the N-channel MISFET and composed of a first metal silicide, a second gate electrode film provided on the gate insulating film of the P-channel MISFET and composed of a second metal silicide made of a second metal material different from a first metal material composing the first metal silicide, and a work function of the first gate electrode film being lower than that of the second gate electrode film.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: February 20, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kouji Matsuo
  • Patent number: 7175777
    Abstract: A single, controlled etch step can be used to form a sharp tip feature along a sidewall of an etch feature. An etch process is used that is selective to a layer of tip material relative to the substrate upon which the layer is deposited. A lag can be created in the etch, such that the etch rate is slower near the sidewall. The sharp tip feature is formed from the same layer of material used to create the etch feature. The sharp tip feature can be used to decrease the minimum critical dimension of an etch process, such as may be due to the minimum resolution of a photolithographic process. The novel tip feature also can be used for other applications, such as to create a microaperture for a photosensitive device, or to create a micromold that can be used to form objects such as microlenses.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: February 13, 2007
    Assignee: National Semiconductor Corporation
    Inventors: André Paul Labonté, Lee James Jacobson
  • Patent number: 7176139
    Abstract: Disclosed is an etching method for semiconductor processing by which a pattern loading phenomenon is reduced. First, plasma is generated while setting a bias power applied to a wafer to zero and applying a source power. After a predetermined time period, an etching process is implemented onto a predetermined layer formed on the wafer by setting the bias power to a predetermined value. Since by-products generated during preceding etching processes can be readily removed during an etching using plasma, an etching process change due to a difference of pattern densities can be reduced. In addition, a progressive pattern loading generated as the number of processed wafers increase, can be prevented.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: February 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Young-Jae Jung
  • Patent number: 7175966
    Abstract: A multilayer lithographic structure which includes a substrate, having on a major surface thereof a first layer including a water and/or aqueous base soluble material which includes Ge, O, and H, and optionally X, wherein X is at least one of Si, N, and F; and disposed on the first layer a second layer which includes an energy photoactive material.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: February 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: Katherina E Babich, Alfred Grill, Arpan P Mahorowala, Dirk P Pfeiffer
  • Patent number: 7169711
    Abstract: A method of using carbon spacers for critical dimension reduction can include providing a patterned photoresist layer above a substrate where the patterned photoresist layer has an aperture with a first width, depositing a carbon film over the photoresist layer and etching the deposited carbon film to form spacers on lateral side walls of the aperture of the patterned photoresist layer, etching the substrate using the formed spacers and patterned photoresist layer as a pattern to form a trench having a second width, and removing the patterned photoresist layer and formed spacers using an oxidizing etch.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: January 30, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher F. Lyons, Philip A. Fisher, Richard J. Huang, Cyrus E. Tabery
  • Patent number: 7166534
    Abstract: Semiconductor manufacturing processes that reduce production costs as well as increase throughput by substituting the PR strip and ACT wet cleaning procedure after the via contact etching of a semiconductor with dry cleaning to be performed while removing a photoresist in a conventional PR strip apparatus. In addition, the methods can shorten waiting time and maintain consistency in the process by performing the PR strip and cleaning at the same time in the same chamber. The resultant devices have lower via contact resistance and its deviation, as compared to the conventional PR strip and ACT wet cleaning.
    Type: Grant
    Filed: September 24, 2002
    Date of Patent: January 23, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7160813
    Abstract: A method is disclosed for removing a polysilicon layer from a semiconductor wafer, in which a downstream plasma source is used first to planarize the wafer, removing contours in the polysilicon layer caused by deposition over lithographic features, such as via holes. The planarizing process is followed by exposure to a plasma made by a direct, radio frequency plasma source, which may be in combination with the downstream plasma source, to perform the bulk etching of the polysilicon. The invention can produce planar surface topography after the top layer of the film is removed, in which the residual recess height of the polysilicon plug filling a via hole is less than about about 10 nm.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: January 9, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Cindy W. Chen, Eddie Chiu, Mavis J. Chaboya, Yuh-Jia Su
  • Patent number: 7144521
    Abstract: A method for etching a high aspect ratio feature through a mask into a layer to be etched over a substrate is provided. The substrate is placed in a process chamber, which is able to provide RF power at a first frequency, a second frequency different than the first frequency, and a third frequency different than the first and second frequency. An etchant gas is provided to the process chamber. A first etch step is provided, where the first frequency, the second frequency, and the third frequency are at power settings for the first etch step. A second etch step is provided, where the first frequency, the second frequency, and the third frequency are at a different power setting.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: December 5, 2006
    Assignee: Lam Research Corporation
    Inventors: Camelia Rusu, Rajinder Dhindsa, Eric A. Hudson, Mukund Srinivasan, Lumin Li, Felix Kozakevich
  • Patent number: 7141510
    Abstract: A plasma processing method is conducted while a thickness of a resist film being monitored, thereby preventing the thickness of the resist film from being reduced. The plasma processing method includes steps of supplying a processing gas into an airtight processing chamber, and plasma-processing a target layer formed on an object to be processed by using a resist film as a mask. The method includes a main etching process (first process) of plasma-processing the target layer while the thickness of the resist film being monitored until the reduction rate of the thickness of the resist film reaches a predetermined value, and an over-etching process (second process) of plasma-processing the target layer in a changed process condition in which selectivity against the resist film is higher than in the first process.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: November 28, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Takashi Fuse
  • Patent number: 7135410
    Abstract: A method for etching a feature in an etch layer through a mask over a substrate. The substrate is placed in a process chamber. An etch plasma is provided to the process chamber, where the etch plasma begins to etch. A feature is etched in the etch layer with the etch plasma. At least one etch plasma parameter is ramped during the etching of the feature to optimize plasma parameters with the changing etch depth and the feature is etched with the ramped plasma until the feature is etched to a feature depth.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: November 14, 2006
    Assignee: Lam Research Corporation
    Inventors: Keren Jacobs, Aaron Eppler
  • Patent number: 7129179
    Abstract: The device of the present invention facilitates engaging mating elements, such as actuators used in disc drives, with a pattern on the device. The improved device includes arcuate edges between at least one of the sidewalls in the pattern and the surface of the device. The arcuate edges minimize some of the fracturing of the device that typically occurs when a mating element is inserted on or into the device. The present invention also relates to a method of fabricating a device. The method comprises positioning a mask in the form of a pattern relative to the device, and then etching the pattern into a surface on the device to form at least one sidewall and an arcuate edge such that the arcuate edge extends between the surface on the device and one of the sidewalls.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: October 31, 2006
    Assignee: Seagate Technology LLC
    Inventor: Zine Eddine Boutaghou
  • Patent number: 7125786
    Abstract: A method of fabricating an integrated circuit on a silicon carbide substrate is disclosed that eliminates wire bonding that can otherwise cause undesired inductance. The method includes fabricating a semiconductor device in epitaxial layers on a surface of a silicon carbide substrate and with at least one metal contact for the device on the uppermost surface of the epitaxial layer. The opposite surface of the substrate is then ground and polished until it is substantially transparent. The method then includes masking the polished surface of the silicon carbide substrate to define a predetermined location for at least one via that is opposite the device metal contact on the uppermost surface of the epitaxial layer and etching the desired via in steps. The first etching step etches through the silicon carbide substrate at the desired masked location until the etch reaches the epitaxial layer. The second etching step etches through the epitaxial layer to the device contacts.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 24, 2006
    Assignee: Cree, Inc.
    Inventors: Zoltan Ring, Scott Sheppard, Helmut Hagleitner
  • Patent number: 7122482
    Abstract: One embodiment of the present invention is a method for generating patterned features on a substrate that includes: (a) forming a first layer on at least a portion of a surface of the substrate, the first layer comprising at least one layer of a first material, which one layer abuts the surface of the substrate; (b) forming a second layer of a second material on at least a portion of the first layer, which second layer is imprinted with the patterned features; (c) removing at least portions of the second layer to extend the patterned features to the first layer; and (d) removing at least portions of the first layer to extend the patterned features to the substrate; wherein the first layer and the second layer may be exposed to an etching process that undercuts the patterned features, and the first material may be lifted-off.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: October 17, 2006
    Assignees: Molecular Imprints, Inc., University of Texas System
    Inventors: Frank Y. Xu, Nicholas E. Stacey, Michael P. C. Watts, Ecron D. Thompson
  • Patent number: RE39895
    Abstract: To realize etching with a high selection ratio and a high accuracy in fabrication of an LSI, the composition of dissociated species of a reaction gas is accurately controlled when dry-etching a thin film on a semiconductor substrate by causing an inert gas excited to a metastable state in a plasma and a flon gas to interact with each other and selectively obtaining desired dissociated species.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: October 23, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Takafumi Tokunaga, Sadayuki Okudaira, Tatsumi Mizutani, Kazutami Tago, Hideyuki Kazumi, Ken Yoshioka