Utilizing Multilayered Mask Patents (Class 438/717)
  • Patent number: 8334211
    Abstract: Methods for patterning integrated circuit (IC) device arrays employing an additional mask process for improving center-to-edge CD uniformity are disclosed. In one embodiment, a repeating pattern of features is formed in a masking layer over a first region of a substrate. Then, a blocking mask is applied over the features in the masking layer. The blocking mask is configured to differentiate array regions of the first region from peripheral regions of the first region. Subsequently, the pattern of features in the array regions is transferred into the substrate. In the embodiment, an etchant can be uniformly introduced to the masking layer because there is no distinction of center/edge in the masking layer. Thus, CD uniformity can be achieved in arrays which are later defined.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: December 18, 2012
    Assignee: Micron Technology, Inc.
    Inventor: David Kewley
  • Patent number: 8329592
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a mask material on a semiconductor substrate comprising first and second regions; forming a pattern of a core on the mask material in the first region; forming a sidewall spacer mask on a side surfaces of the core pattern and subsequently removing the core pattern; transferring a pattern of the sidewall spacer mask to the mask material in the first region after removing the core; and thereafter, carrying out trimming of the pattern of the sidewall spacer mask which is transferred to the mask material in the first region, and formation of a predetermined pattern on the mask material in the second region, simultaneously.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: December 11, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Satoshi Inaba
  • Patent number: 8318603
    Abstract: Provided is a method of forming patterns for a semiconductor device in which fine patterns and large-width patterns are formed simultaneously and adjacent to each other. In the method, a first layer is formed on a substrate so as to cover a first region and a second region which are included in the substrate. Both a blocking pattern covering a portion of the first layer in the first region and a low-density large-width pattern covering a portion of the first layer in the second region are simultaneously formed. A plurality of sacrificial mask patterns are formed on the first layer and the blocking pattern in the first region. A plurality of spacers covering exposed sidewalls of the plurality of sacrificial mask patterns are formed. The plurality of sacrificial mask patterns are removed.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: November 27, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Jae-Hwang Sim, Sang-Yong Park, Kyung-Lyul Moon
  • Patent number: 8314034
    Abstract: Methods for semiconductor device fabrication are provided. Features are created using spacers. Methods include creating a pattern comprised of at least two first features on the substrate surface, depositing a first conformal layer on the at least two first features, depositing a second conformal layer on the first conformal layer, partially removing the second conformal layer to partially expose the first conformal layer, and partially removing the first conformal layer from between the first features and the second conformal layer thereby creating at least two second features. Optionally the first conformal film is partially etched back before the second conformal film is deposited.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: November 20, 2012
    Assignee: Intel Corporation
    Inventors: Elliot N. Tan, Michael K. Harper
  • Patent number: 8314036
    Abstract: A method of forming fine patterns of a semiconductor device is provided. The method includes forming plural preliminary first mask patterns, which are spaced apart from each other by a first distance in a direction parallel to a surface of a substrate, on the substrate; forming an acid solution layer on the substrate to cover the plural preliminary first mask patterns; forming plural first mask patterns which are spaced apart from each other by a second distance larger than the first distance, of which upper and side portions are surrounded by acid diffusion regions having first solubility; exposing the first acid diffusion regions by removing the acid solution layer; forming a second mask layer having second solubility lower than the first solubility in spaces between the acid diffusion regions; and forming plural second mask patterns located between the plural first mask patterns, respectively, by removing the acid diffusion regions by the dissolvent.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: November 20, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seongho Moon, Yool Kang, HyoungHee Kim, Seokhwan Oh, So-Ra Han, Seongwoon Choi
  • Patent number: 8309460
    Abstract: Provided are methods of manufacturing semiconductor devices by which two different kinds of contact holes with different sizes are formed using one photolithography process. The methods include preparing a semiconductor substrate in which an active region is titled in a diagonal direction. A hard mask is formed on the entire surface of the semiconductor substrate. A mask hole is patterned not to overlap a word line. A first oxide layer is deposited on the hard mask, and the hard mask is removed to form a piston-shaped sacrificial pattern. A first polysilicon (poly-Si) layer is deposited on the sacrificial pattern and patterned to form a cylindrical first sacrificial mask surrounding the piston-shaped sacrificial pattern. A second oxide layer is coated on the first sacrificial mask to such an extent as to form voids. A second poly-Si layer is deposited in the voids and patterned to form a pillar-shaped second sacrificial mask. The second oxide layer is removed to expose the active region.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Ik Kim, Ho-Jun Yi
  • Patent number: 8309469
    Abstract: A method of fabricating a semiconductor device includes forming core material patterns comprising first films separated from another above a substrate; modifying surfaces of core material patterns so a second film is formed, selectively etchable, with first films internally remaining, the second film not covering a base layer of core material patterns between core material patterns; covering an upper surface and sides of the second film and forming a third film on the substrate; etching back the third film to expose an upper surface of the second film and the base layer of core material patterns between the patterns, causing the third film to selectively remain; removing the second film more rapidly than the first and third films; and patterning the base layer with the first and third films remaining on the base layer serving as a mask after the second film has been removed, forming a base layer pattern.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: November 13, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Seiji Kajiwara
  • Patent number: 8308966
    Abstract: A method for performing a double pattering process of a semiconductor device is provided. The method includes forming a hard mask layer having a stack structure of a first layer, a second layer and a third layer in sequence, forming a first photoresist pattern over the hard mask layer, etching the third layer to form third layer patterns by using the first photoresist pattern as an etch barrier, forming a second photoresist pattern over the third layer patterns, etching the second layer to form second layer patterns by using the second photoresist pattern and the third layer patterns as an etch barrier, removing the second photoresist pattern, and etching the first layer to form first layer patterns by using the second layer patterns as an etch barrier.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: November 13, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jun-Hyeub Sun, Shi-Young Lee, Jong-Sik Bang, Sang-Min Ju
  • Patent number: 8304266
    Abstract: A manufacturing method of thin film transistor substrate of a liquid crystal display panel includes following steps. A substrate is provided. Then, a transparent conducting layer and an opaque conducting layer are formed on the substrate. Thereafter, the transparent conducting layer and the opaque conducting layer are patterned by a gray-tone mask to form at least one storage capacitor electrode. Next, a first insulating layer is formed on the storage capacitor electrode. Then, at least one gate electrode is formed on the substrate. Subsequently, at least one gate insulating layer, a patterned semiconductor layer, a source electrode, a drain electrode, and a second insulating layer are formed sequentially on the gate electrode. Moreover, at least one pixel electrode is formed on the first insulating layer and the second insulating layer. A part of the pixel electrode overlaps a part of the storage capacitor electrode to form a storage capacitor.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: November 6, 2012
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Sheng-Hsiung Hou
  • Publication number: 20120276747
    Abstract: A method for etching features in an etch layer is provided. An organic mask layer is etched, using a hard mask as an etch mask. The hard mask is removed, by selectively etching the hard mask with respect to the organic mask and etch layer. Features are etched in the etch layer, using the organic mask as an etch mask.
    Type: Application
    Filed: April 28, 2011
    Publication date: November 1, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Youn-Jin Oh, Kenji Takeshita, Hitoshi Takahashi
  • Patent number: 8298960
    Abstract: A plasma etching method, for plasma-etching a target substrate including at least a film to be etched, an organic film to become a mask of the to-be-etched film, and a Si-containing film which are stacked in order from bottom, includes the first organic film etching step, the treatment step and the second organic film etching step when the organic film is etched to form a mask pattern of the to-be-etched film. In the first organic film etching step, a portion of the organic film is etched. In the treatment step, the Si-containing film and the organic film are exposed to plasma of a rare gas after the first organic film etching step. In the second organic film etching step, the remaining portion of the organic film is etched after the treatment step.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: October 30, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masahiro Ogasawara, Sungtae Lee
  • Patent number: 8298958
    Abstract: A method for reducing very low frequency line width roughness (LWR) in forming etched features in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated to reduce very low frequency line width roughness of the patterned organic mask, comprising flowing a treatment gas comprising H2, wherein the treatment gas has a flow rate and H2 has a flow rate that is at least 50% of the flow rate of the treatment gas, forming a plasma from the treatment gas, and stopping the flow of the treatment gas. The etch layer is etched through the treated patterned organic mask with the reduced very low LWR.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: October 30, 2012
    Assignee: Lam Research Corporation
    Inventors: Yoko Y. Adams, David Yang
  • Patent number: 8288289
    Abstract: A method of fabricating a semiconductor device, the method including providing a substrate; forming an underlying layer on the substrate; forming a sacrificial layer on the underlying layer; forming an opening in the sacrificial layer by patterning the sacrificial layer such that the opening exposes a predetermined region of the underlying layer; forming a mask layer in the opening; forming an oxide mask by partially or completely oxidizing the mask layer; removing the sacrificial layer; and etching the underlying layer using the oxide mask as an etch mask to form an underlying layer pattern.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: October 16, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Ho Jeong, Jang-Eun Lee, Se-Chung Oh, Suk-Hun Choi, Jea-Hyoung Lee, Woo-Jin Kim, Woo-Chang Lim
  • Patent number: 8283255
    Abstract: A method for etching features in a silicon layer is provided. A hard mask layer is formed over the silicon layer. A photoresist layer is formed over the hard mask layer. The hard mask layer is opened. The photoresist layer is stripped by providing a stripping gas; forming a plasma with the stripping gas by providing a high frequency RF power and a low frequency RF power, wherein the low frequency RF power has a power less than 50 watts; and stopping the stripping gas when the photoresist layer is stripped. The opening the hard mask layer and the stripping the photoresist layer are performed in a same chamber.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: October 9, 2012
    Assignee: Lam Research Corporation
    Inventors: Sangjun Cho, Tom Choi, Taejoon Han, Sean Kang, Prabhakara Gopaladasu, Bi-Ming Yen
  • Patent number: 8278221
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: October 2, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Patent number: 8273634
    Abstract: A method of fabricating a substrate includes forming first and second spaced features over a substrate. The first spaced features have elevationally outermost regions which are different in composition from elevationally outermost regions of the second spaced features. The first and second spaced features alternate with one another. Every other first feature is removed from the substrate and pairs of immediately adjacent second features are formed which alternate with individual of remaining of the first features. After such act of removing, the substrate is processed through a mask pattern comprising the pairs of immediately adjacent second features which alternate with individual of the remaining of the first features. Other embodiments are disclosed.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: September 25, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Patent number: 8268727
    Abstract: Methods of fabricating a semiconductor device on and in a semiconductor substrate are provided. In accordance with an exemplary embodiment of the invention, one method comprises forming a sacrificial mandrel overlying the substrate, wherein the sacrificial mandrel has sidewalls. Sidewall spacers are formed adjacent the sidewalls of the sacrificial mandrel, the sidewall spacers having an upper portion and a lower portion. The upper portion of the sidewall spacers is removed. The sacrificial mandrel is removed and the semiconductor substrate is etched using the lower portion of the sidewall spacers as an etch mask.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: September 18, 2012
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Frank S. Johnson, Douglas Bonser
  • Patent number: 8266785
    Abstract: A method for manufacturing a magnetoresistive sensor having improved pinned layer stability at small track widths. The method includes providing a substrate, and depositing a plurality of sensor layers. A layer of material that is resistant to removal by chemical mechanical polishing (CMP stop layer) and an antireflective coating layer are deposited. A photoresist mask is formed on the antireflective layer, and a reactive ion etch (RIE) is performed to remove portions of the ion mill resistant mask that are not covered by the photoresist mask, the RIE being performed in a plasma chamber having a platen, the performing the RIE further comprising applying a platen power of at least 70 W. An ion milling is performed to remove a portion of the sensor layers, the ion milling being terminating before all of the sensor materials have been removed.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: September 18, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: James Mac Freitag, Wipul Pemsiri Jayasekara, Mustafa Michael Pinarbasi
  • Patent number: 8263499
    Abstract: A plasma etching method includes disposing first electrode and second electrodes; preparing a part in a processing chamber; supporting a substrate by the second electrode to face the first electrode; vacuum-evacuating the processing chamber; supplying a first processing gas containing an etchant gas into a processing space between the first electrode and the second electrode; generating a plasma of the first processing gas in the processing space by applying a radio frequency power to the first electrode or the second electrode; and etching a film on the substrate by using the plasma. Further, a resist modification process includes vacuum-evacuating the processing chamber; supplying a second processing gas into the processing space; generating a plasma; and applying a negative DC voltage to the part, the part being disposed away from the substrate in the processing chamber and injecting electrons discharged from the part into the resist pattern on the substrate.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: September 11, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Michiko Nakaya
  • Patent number: 8263498
    Abstract: Disclosed is a semiconductor device fabricating method. A substrate is provided thereon with: an inorganic insulating film; a first inorganic sacrifice film stacked on the inorganic insulating film and having components different from those of the inorganic insulating film; a second sacrifice film formed of an inorganic insulative film stacked on the first sacrifice film, wherein a pattern for forming grooves for wiring embedment is formed in the second sacrifice film; and an organic layer including a photoresist film, wherein a pattern for forming holes for wiring embedment is formed in the organic film. According to the present invention, the thickness of the organic layer is set to be greater than the sum of the thicknesses of etch target films, i.e., the insulating film, the first sacrifice film and the second sacrifice film; the etch target films are etched in a selectivity-less manner by using plasma generated from a mixed gas of CF4 gas and CHF3 gas.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: September 11, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Ryukichi Shimizu, Akihiro Kikuchi, Toshihiko Shindo
  • Patent number: 8263485
    Abstract: A method for fabricating semiconductor device includes forming an etch target layer over a substrate including a cell region and a peripheral region, forming a first mask pattern having a first portion and a second portion over the etch target layer in the cell region and forming a second mask pattern having a first portion and a second portion over the etch target layer in the peripheral region, forming a photoresist pattern over the cell region, trimming the first portion of the second mask pattern, removing the photoresist pattern and the second portion of the first mask pattern and the second portion of the second mask pattern, and etching the etch target layer to form a pattern in the cell region and a pattern in the peripheral region.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: September 11, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 8247302
    Abstract: A method of fabricating a substrate includes forming spaced first features and spaced second features over a substrate. The first and second features alternate with one another and are spaced relative one another. Width of the spaced second features is laterally trimmed to a greater degree than any lateral trimming of width of the spaced first features while laterally trimming width of the spaced second features. After laterally trimming of the second features, spacers are formed on sidewalls of the spaced first features and on sidewalls of the spaced second features. The spacers are of some different composition from that of the spaced first features and from that of the spaced second features. After forming the spacers, the spaced first features and the spaced second features are removed from the substrate. The substrate is processed through a mask pattern comprising the spacers. Other embodiments are disclosed.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: August 21, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Patent number: 8247305
    Abstract: A method of forming a capacitor structure includes forming a pad oxide layer overlying a substrate, a nitride layer overlying the pad oxide layer, an interlayer dielectric layer overlying the nitride layer, and a patterned polysilicon mask layer overlying the interlayer dielectric layer. The method then applies a first RIE process to form a trench region through a portion of the interlayer dielectric layer using the patterned polysilicon mask layer and maintaining the first RIE to etch through a portion of the nitride layer and through a portion of the pad oxide layer. The method stops the first RIE when a portion of the substrate has been exposed. The method then forms an oxide layer overlying the exposed portion of the substrate and applies a second RIE process to continue to form the trench region by removing the oxide layer and removing a portion of the substrate to a predetermined depth.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: August 21, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Kuo-Chang Liao, Weijun Song, Dang Quan Liao
  • Patent number: 8242022
    Abstract: A method for forming a fine pattern in a semiconductor device using a quadruple patterning includes forming a first partition layer over a first material layer which is formed over a substrate, performing a photo etch process on the first partition layer to form a first partition pattern, performing an oxidation process to form a first spacer sacrificial layer over a surface of the first partition pattern, forming a second spacer sacrificial layer over the substrate structure, forming a second partition layer filling gaps between the first partition pattern, removing the second spacer sacrificial layer, performing an oxidation process to form a third spacer sacrificial layer over a surface of the second partition layer and define a second partition pattern, forming a third partition pattern filling gaps between the first partition pattern and the second partition pattern, and removing the first and third spacer sacrificial layers.
    Type: Grant
    Filed: June 27, 2009
    Date of Patent: August 14, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won-Kyu Kim
  • Patent number: 8242024
    Abstract: Many current micromachining devices are integrated with materials such as very thick layer of polyimide (10 to 100 um) to offer essential characteristics and properties for various applications; it is inherently difficult and complicated to provide reliable metal interconnections between different levels of the circuits. The present invention is generally related to a novel micromachining process and structure to form metal interconnections in integrated circuits or micromachining devices which are incorporated with thick polyimide films. More particularly, the embodiments of the current invention relates to formation of multi-step staircase structure with tapered angle on polyimide layer, which is therefore capable of offering superb and reliable step coverage for metallization among different levels of integrated circuits, and especially for very thick polyimide layer applications.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: August 14, 2012
    Assignees: Siargo Ltd., M-Tech Instrument Corp. Limited
    Inventor: Chih-Chang Chen
  • Patent number: 8236697
    Abstract: A method for manufacturing a semiconductor device which includes fine patterns having various critical dimensions (CDs) by adjusting a thickness of spacer used as an etching mask in Spacer Patterning Technology (SPT). The method for manufacturing a semiconductor device includes forming spacers at a different level over an etching target layer and etching the etching target layer exposed among the spacers.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: August 7, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Sook Chang, Hyoung Soon Yune
  • Patent number: 8236700
    Abstract: A method of pattern etching a Si-containing anti-reflective coating (ARC) layer is described. The method comprises etching a feature pattern into the silicon-containing ARC layer using plasma formed from a process gas containing SF6 and a hydrocarbon gas. The method further comprises adjusting a flow rate of the hydrocarbon gas relative to a flow rate of the SF6 to reduce a CD bias between a final CD for nested structures in the feature pattern and a final CD for isolated structures in the feature pattern.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Christopher Cole, Akiteru Ko
  • Patent number: 8232212
    Abstract: An apparatus for adaptive self-aligned dual patterning and method thereof. The method includes providing a substrate to a processing platform configured to perform an etch process and a deposition process and a metrology unit configured for in-vacuo critical dimension (CD) measurement. The in-vacuo CD measurement is utilized for feedforward adaptive control of the process sequence processing platform or for feedback and feedforward adaptive control of chamber process parameters. In one aspect, a first layer of a multi-layered masking stack is etched to form a template mask, an in-vacuo CD measurement of the template mask is made, and a spacer is formed, adjacent to the template mask, to a width that is dependent on the CD measurement of the template mask.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: July 31, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew F. Davis, Thorsten B. Lill, Lei Lian
  • Patent number: 8232211
    Abstract: Methods for producing self-aligned, self-assembled sub-ground-rule features without the need to use additional lithographic patterning. Specifically, the present disclosure allows for the creation of assist features that are localized and self-aligned to a given structure. These assist features can either have the same tone or different tone to the given feature.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Larry Clevenger, Timothy J. Dalton, Carl J. Radens
  • Patent number: 8232210
    Abstract: A method of forming an integrated circuit (IC) device feature includes forming an initially substantially planar hardmask layer over a semiconductor device layer to be patterned; forming a first photoresist layer over the hardmask layer; patterning a first set of semiconductor device features in the first photoresist layer; registering the first set of semiconductor device features in the hardmask layer in a manner that maintains the hardmask layer substantially planar; removing the first photoresist layer; forming a second photoresist layer over the substantially planar hardmask layer; patterning a second set of semiconductor device features in the second photoresist layer; registering the second set of semiconductor device features in the hardmask layer in a manner that maintains the hardmask layer substantially planar; removing the second photoresist layer; and creating topography within the hardmask layer by removing portions thereof corresponding to both the first and second sets of semiconductor device
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Haining S. Yang
  • Patent number: 8227354
    Abstract: Provided is a method of forming patterns of a semiconductor device, whereby patterns having various widths can be simultaneously formed, and pattern density can be doubled by a double patterning process in a portion of the semiconductor device. In the method of forming patterns of a semiconductor device, a first mold mask pattern and a second mold mask patter having different widths are formed on a substrate. A pair of first spacers covering both sidewalls of the first mold mask pattern and a pair of second spacers covering both sidewalls of the second mold mask pattern are formed. The first mold mask pattern and the second mold mask pattern are removed, and a wide-width mask pattern covering the second spacer is formed. A lower layer is etched using the first spacers, the second spacers, and the wide-width mask pattern as an etch mask.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: July 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-cheol Kim, Dae-youp Lee, Sang-youn Jo, Ja-min Koo, Byeong-hwan Son, Jang-hwan Jeong
  • Patent number: 8226837
    Abstract: A process for producing through simple operations a molding die for optical device having an antireflective structure of nano-order microscopic uneven plane on a substratum surface. The molding die for optical device having microscopic uneven plane (antireflective structure die plane) on a surface of substratum is produced by a process comprising forming one or more etching transfer layers on substratum; forming thin film for formation of semispherical microparticles on the etching transfer layers; causing the thin film to undergo aggregation, or decomposition, or nucleation of the material by the use of any of thermal reaction, photoreaction and gas reaction or a combination of these reactions so as to form multiple semispherical islandlike microparticles; and using the multiple islandlike microparticles as a protective mask, carrying out sequential etching of the etching transfer layers and substratum by reactive gas to thereby form a conical pattern on the microscopic surface of the substratum.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: July 24, 2012
    Assignee: National Institute of Advanced Industrial Science and Technology
    Inventors: Kazuma Kurihara, Takayuki Shima, Junji Tominaga
  • Patent number: 8222159
    Abstract: A manufacturing method of semiconductor device comprises: sequentially laminating a third mask layer, a second mask layer, and a first mask layer on a processed layer; forming a fourth mask layer on the first mask layer; processing the first mask layer so as to have a line pattern form using the fourth mask layer as a mask; removing the first mask layer; processing the second mask layer so as to have a pair of line pattern forms using the pair of sidewall layers as a mask; forming a fifth mask layer on the third mask layer; forming a pair of opening portions in the third mask layer using the fifth mask layer as a mask; and forming a pair of groove portions on the processed layer using the third mask layer as a mask.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: July 17, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Takashi Sugimura
  • Patent number: 8222152
    Abstract: A method for fabricating a hole pattern includes forming a first hard mask layer over an etch target layer, forming a second hard mask pattern over the first hard mask layer, which are patterned to be a line type in a first direction and have a selective etch ratio to the first hard mask layer, forming a third hard mask layer over the first hard mask layer to bury a space between adjacent ones of the second hard mask pattern, forming a photoresist pattern over the third hard mask layer, which is patterned to be a line type in a second direction; etching the third hard mask layer using the photoresist pattern to form a third hard mask pattern, removing the photoresist pattern, and etching the first hard mask layer using the second and third hard mask patterns.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: July 17, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jun-Hyeub Sun
  • Patent number: 8216948
    Abstract: Disclosed herein is a method for forming a semiconductor device that stacks an etched layer and a first hard mask layer on a semiconductor substrate, patterns the first hard mask layer in a high density region and a low density region, using a first exposure mask, forms a first spacer on a sidewall of the first hard mask layer in the high density region, forms a second spacer on a sidewall of the first hard mask layer in the low density region at the same time, etches an end with the first spacer connected thereto using a second exposure mask to thereby form a first spacer pattern, forms a planarized second hard mask layer that exposes the first spacer pattern and the second spacer, removes the first spacer pattern and the second spacer such that the second hard mask layer is left, and etches the etched layer using the second hard mask layer as an mask. This method makes it possible to easily form a micro pattern in the high density region and the low density region.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: July 10, 2012
    Assignee: Hynix Semiconductor Inc
    Inventor: Jae In Moon
  • Patent number: 8216947
    Abstract: A method of fabricating an integrated circuit device includes forming first and second mask structures on respective first and second regions of a feature layer. Each of the first and second mask structures includes a dual mask pattern and an etch mask pattern thereon having an etch selectivity relative to the dual mask pattern. The etch mask patterns of the first and second mask structures are isotropically etched to remove the etch mask pattern from the first mask structure while maintaining at least a portion of the etch mask pattern on the second mask structure. Spacers are formed on opposing sidewalls of the first and second mask structures.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: July 10, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Jae-Kwan Park, Jae-Hwang Sim, Sang-Yong Park
  • Patent number: 8211807
    Abstract: A method of forming an integrated circuit structure includes forming a first and a second plurality of tracks parallel to a first direction and on a wafer representation. The first and the second plurality of tracks are allocated in an alternating pattern. A first plurality of patterns is laid out on the first plurality of tracks and not on the second plurality of tracks. A second plurality of patterns is laid out on the second plurality of tracks and not on the first plurality of tracks. The first plurality of patterns is extended in the first direction and in a second direction perpendicular to the first direction, so that each of the second plurality of patterns is surrounded by portions of the first plurality of patterns, and substantially none of neighboring ones of the first plurality of patterns on the wafer representation have spacings greater than a pre-determined spacing.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: July 3, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Ken-Hsien Hsieh, Tsong-Hua Ou, Fang-Yu Fan, Yuan-Te Hou, Ming-Feng Shieh, Ru-Gun Liu, Lee-Chung Lu
  • Patent number: 8211783
    Abstract: According to one embodiment, a method is disclosed for manufacturing a semiconductor device. The method can include multiply stacking an insulating layer and a conductive layer alternately above a base member. The insulating layer includes silicon oxide. The conductive layer includes silicon. In addition, the method can form a SiOC film on a stacked body of the insulating layers and the conductive layers, pattern the SiOC film, and make a hole in the stacked body by etching the insulating layers and the conductive layers using the patterned SiOC film as a mask.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: July 3, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Noriko Sakurai, Katsunori Yahashi, Tokuhisa Ohiwa
  • Patent number: 8211806
    Abstract: A method of manufacturing an integrated circuit with a small pitch comprises providing a second material layer patterned to form at least two features with an opening between the features. The second material layer is formed over a first material layer and the first material layer is over a substrate. The method also comprises providing a first oxide layer to form a first sidewall surrounding each of the features, and providing a second oxide layer over the first sidewalls and the first material layer. A second sidewall is formed surrounding each of the features. The method further comprises providing a conductive layer over the second oxide layer and removing the conductive layer, the second sidewalls and the first material underneath the second sidewalls.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: July 3, 2012
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chia-Wei Wu, Ling-Wu Yang
  • Patent number: 8193005
    Abstract: Methods for the controlled manufacture of high aspect ratio features. The method may include forming a layer stack on a top surface of a substrate and forming features in the layers of the layer stack. The high aspect ratio features may be defined using a resist layer that is patterned with a photolithographic condition. After removing at least one of the layers removed from the top of the layer stack, a feature dimension may be measured for features at different locations on the substrate. The method may further include changing the photolithographic condition based on the measured dimension and processing another substrate using the changed photolithographic condition.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: June 5, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Parrish, Steven M. Shank
  • Patent number: 8193018
    Abstract: A method of patterning a substrate that includes locating a single mask film over the substrate and forming first opening portions in first locations in the mask film. First electrical materials are deposited over the substrate and mask film to form patterned areas in the first locations. Second opening portions are formed in second locations different from the first locations in the mask film. Subsequently, second electrical materials are deposited over the substrate and mask film to form patterned areas in the first and second locations.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: June 5, 2012
    Assignee: Global OLED Technology LLC
    Inventor: Ronald S. Cok
  • Patent number: 8178443
    Abstract: Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about ?600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of SixByCz, SixByNz, SixByCzNw, BxCy, and BxNy. In some embodiments, a hardmask film includes a germanium-rich GeNx material comprising at least about 60 atomic % of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: May 15, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Vishwanathan Rangarajan, George Andrew Antonelli, Bart van Schravendijk
  • Patent number: 8173550
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Grant
    Filed: July 11, 2011
    Date of Patent: May 8, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej Sandhu, Neal R. Rueger
  • Patent number: 8158526
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: April 17, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Patent number: 8153530
    Abstract: In this method of manufacturing a semiconductor device, the remaining layer of an etching mask layer remains in a predetermined thickness when the stamping face of a nano-stamper is pressed on the surface of the etching mask layer. Therefore, the remaining layer of the etching mask layer functions as a cushion so that the stress added to the nano-stamper and the semiconductor substrate is reduced. Accordingly, the crystal defect that might otherwise be introduced in the semiconductor substrate in pressing the nano-stamper on the semiconductor substrate can be restrained, resulting in suppression of the degradation of optical characteristics of the semiconductor device. Also, since the nano-stamper can be prevented from being damaged, extra steps such as the replacement of the nano-stamper can be avoided.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: April 10, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Yukihiro Tsuji
  • Patent number: 8138059
    Abstract: A semiconductor device manufacturing method includes: forming a core pattern on a foundation film, the core pattern containing a material generating acid by light exposure; selectively exposing part of the core pattern except an longitudinal end portion; supplying a mask material onto the foundation film so as to cover the core pattern, the mask material being crosslinkable upon supply acid from the core pattern; etching back the mask material to expose an upper surface of the core pattern and remove a portion of the mask material formed on the end portion of the core pattern, thereby leaving a mask material side wall portion formed on a side wall of the core pattern; and removing the core pattern and processing the foundation film by using the mask material sidewall portion left on the foundation film as a mask.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: March 20, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Matsunaga, Hirokazu Kato, Tomoya Oori
  • Patent number: 8138096
    Abstract: In a plasma etching method, a substrate including an underlying film, an insulating film and a resist mask is plasma etched to thereby form a number of holes in the insulating film including a dense region and a sparse region by using a parallel plate plasma etching apparatus for applying a plasma-generating high frequency electric power to a space between an upper and a lower electrode and a biasing high frequency electric power to the lower electrode. The plasma etching method includes mounting the substrate on a mounting table; supplying a first process gas containing carbon and fluorine to form the holes in the insulating film to a depth close to the underlying film; and supplying a second process gas including an inert gas and another gas contain carbon and fluorine to have the holes reach the underlying film while applying a negative DC voltage to the upper electrode.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryoichi Yoshida
  • Patent number: 8138092
    Abstract: A method for forming an array area with a surrounding periphery area, wherein a substrate is disposed under an etch layer, which is disposed under a patterned organic mask defining the array area and covers the entire periphery area is provided. The patterned organic mask is trimmed. An inorganic layer is deposited over the patterned organic mask where a thickness of the inorganic layer over the covered periphery area of the organic mask is greater than a thickness of the inorganic layer over the array area of the organic mask. The inorganic layer is etched back to expose the organic mask and form inorganic spacers in the array area, while leaving the organic mask in the periphery area unexposed. The organic mask exposed in the array area is stripped, while leaving the inorganic spacers in place and protecting the organic mask in the periphery area.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: March 20, 2012
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Amit Jain
  • Patent number: 8133819
    Abstract: Etching of carbonaceous layers with an etchant gas mixture including molecular oxygen (O2) and a gas including a carbon sulfur terminal ligand. A high RF frequency source is employed in certain embodiments to achieve a high etch rate with high selectivity to inorganic dielectric layers. In certain embodiments, the etchant gas mixture includes only the two components, COS and O2, but in other embodiments additional gases, such as at least one of molecular nitrogen (N2), carbon monoxide (CO) or carbon dioxide (CO2) may be further employed to etch to carbonaceous layers.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: March 13, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Judy Wang, Shawming Ma, Chang-Lin Hsieh, Bryan Liao, Jie Zhou, Hun Sang Kim
  • Patent number: 8133817
    Abstract: Methods for fabricating one or more shallow trench isolation (STI) structures are provided herein. In some embodiments, a method for fabricating one or more shallow trench isolation (STI) structures may include providing a substrate having a patterned mask layer disposed thereon to define one or more STI structures. The substrate may be etched using a plasma formed from a process gas mixture to form one or more STI structures on the substrate, wherein the process gas mixture comprises a fluorine-containing gas and either a fluorocarbon-containing gas or a hydrofluorocarbon-containing gas.
    Type: Grant
    Filed: November 30, 2008
    Date of Patent: March 13, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hiroki Sasano, Meihua Shen, Radhika Mani, Sunil Srinivasan, Daehee Weon, Nicolas Gani, Shashank Deshmukh, Anisul Khan