Lateral Etching Of Intermediate Layer (i.e., Undercutting) Patents (Class 438/739)
  • Patent number: 7132349
    Abstract: An integrated circuit structure can include an isolation structure that electrically isolates an active region of an integrated circuit substrate from adjacent active regions and an insulation layer that extends from the isolation structure to beneath the active region. An epitaxial silicon layer extends from the active region through the insulation layer to a substrate beneath the insulation layer.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: November 7, 2006
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Sung-min Kim, Dong-gun Park, Chang-sub Lee, Jeong-dong Choe, Shin-ae Lee, Seong-ho Kim
  • Patent number: 7129181
    Abstract: Controlled overetching is utilized to produce metal patterns having gaps that are smaller than the resolution limits of the feature patterning (e.g., photolithography) process utilized to produce the metal patterns. A first metal layer is formed and masked, and exposed regions are etched away. The etching process is allowed to continue in a controlled manner to produced a desired amount of over-etching (i.e., undercutting the mask) such that an edge of the first metal layer is offset from an edge of the mask by a predetermined gap distance. A second metal layer is then deposited such that an edge of the second metal layer is spaced from the first metal layer by the predetermined gap distance. The metal gap is used to define, for example, transistor channel lengths, thereby facilitating the production of transistors having channel lengths defined by etching process control that are smaller than the process resolution limits.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: October 31, 2006
    Assignee: Palo Alto Research Center Incorporated
    Inventors: JengPing Liu, Jackson H. Ho, Chinnwen Shih, Michael L. Chabinyc, William S. Wong
  • Patent number: 7129126
    Abstract: A method for manufacturing a device including an n-type device and a p-type device. In an aspect of the invention, the method involves doping a portion of a semiconductor substrate and forming a gap in the semiconductor substrate by removing at least a portion of the doped portion of the semiconductor substrate. The method further involves growing a strain layer in at least a portion of the gap in the semiconductor substrate. For the n-type device, the strain layer is grown on at least a portion which is substantially directly under a channel of the n-type device. For the p-type device, the strain layer is grown on at least a portion which is substantially directly under a source region or drain region of the p-type device and not substantially under a channel of the p-type device.
    Type: Grant
    Filed: November 5, 2003
    Date of Patent: October 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: An L. Steegen, Haining S. Yang, Ying Zhang
  • Patent number: 7118679
    Abstract: A method of fabricating a sharp protrusion on an underlayer is disclosed. A tip layer is deposited on an underlayer and then a mask layer is deposited on the tip layer. The mask layer is patterned with a beam-and-hat pattern that is used to form a beam-and-hat mask in the mask layer. Portions of the tip layer that are not covered by the beam-and-hat mask are isotropically etched to form a tip including a vertex. Beam portions of the beam-and-hat mask support the hat portion and prevent a release of the hat portion during the isotropic etching process. An anisotropic etch process can be used prior to the isotropic etching process to change a character of the tip. The underlayer can be patterned and etched to form a cantilever that includes the sharp protrusion extending outward of a surface of the cantilever.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: October 10, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Peter G. Hartwell, Uija Yoon
  • Patent number: 7101806
    Abstract: A method for etching a deep trench in a semiconductor substrate. The method comprises the steps of (a) forming a hard mask layer on top of the semiconductor substrate, (b) etching a hard mask opening in the hard mask layer so as to expose the semiconductor substrate to the atmosphere through the hard mask layer opening, wherein the step of etching the hard mask opening includes the step of etching a bottom portion of the hard mask opening such that a side wall of the bottom portion of the hard mask opening is substantially vertical, and (c) etching a deep trench in the substrate via the hard mask opening.
    Type: Grant
    Filed: October 15, 2004
    Date of Patent: September 5, 2006
    Assignee: International Business Machines Corporation
    Inventors: June Cline, Dinh Dang, Mark Lagerquist, Jeffrey C. Maling, Lisa Y. Ninomiya, Bruce W. Porth, Steven M. Shank, Jessica A. Trapasso
  • Patent number: 7094638
    Abstract: A method of forming a gate structure. First, a substrate is provided, and a gate oxide layer, a polysilicon layer, a silicide layer, and a cap layer are consecutively formed onto the substrate. Then, an etching process is performed to etch a portion of the cap layer, the silicide layer, and the polysilicon layer and stop on the polysilicon layer for forming a stacked gate. Thereafter, a portion of the silicide layer exposed on sidewalls of the stacked gate is removed to form a recess. A passivation layer is deposited to fill the recess. The remaining polysilicon layer and the gate oxide layer outside the sidewalls of the stacked gate structure are removed.
    Type: Grant
    Filed: October 17, 2003
    Date of Patent: August 22, 2006
    Assignee: Nanya Technology Corp.
    Inventors: Jin-Tau Huang, Chung-Peng Hao, Yi-Nan Chen, Tse-Yao Huang
  • Patent number: 7083997
    Abstract: A microelectromechanical system is fabricated from a substrate having a handle layer, a silicon sacrificial layer and a device layer. A micromechanical structure is etched in the device layer and the underlying silicon sacrificial layer is etched away to release the micromechanical structure for movement. One particular micromechanical structure described is a micromirror.
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: August 1, 2006
    Assignee: Analog Devices, Inc.
    Inventors: Timothy J. Brosnihhan, Michael W. Judy
  • Patent number: 7078339
    Abstract: The present invention is provided to form a metal line layer in a semiconductor device, wherein at least one conductive layer of a plurality of conductive layers is etched, a side wall oxide film is formed on side walls of some conductive layers of the etched conductive layers, and then the other conductive layers are etched. According to the present invention, since it is possible to prevent attacks against the side walls, which may occur due to sputtering and bending of plasma ions, it is possible to enhance yield and reliability of a semiconductor device.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: July 18, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Joon Hyeon Lee
  • Patent number: 7078352
    Abstract: A method for the production of airgaps in a semiconductor device and device produced therefrom. The formation of airgaps is accomplished, in part, by chemically and/or mechanically changing the properties of a first dielectric layer locally, such that at least part of said first dielectric layer is converted locally and becomes etchable by a first etching substance. The local conversion of the dielectric material may be achieved during anisotropic etching of the material in oxygen containing plasma or ex-situ by performing an oxidizing step (e.g., a UV/ozone treatment or supercritical carbon dioxide with addition of an oxidizer). Formation of airgaps is achieved after creation of conductive lines and, alternatively, a barrier layer by a first etching substance. The airgaps are formed in a dual damascene structure, near the vias and/or the trenches of the damascene structure.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 18, 2006
    Assignees: Interuniversitair Microelektronica Centrum (IMEC vzw), Texas Instruments, Inc.
    Inventors: Gerald Beyer, Jean Paul Gueneau de Mussy, Karen Maex, Victor Sutcliffe
  • Patent number: 7071085
    Abstract: The invention includes an apparatus and a method of manufacturing such apparatus including the steps of: forming a layer to be patterned, forming a photosensitive layer over the layer to be patterned, patterning the photosensitive layer to form a pattern including a horizontal line and a vertical line without a space therebetween, transferring the pattern to the layer to be patterned, forming a second photosensitive layer over the pattern, patterning the second photosensitive layer to form a second pattern including a space aligned between the horizontal line and the vertical line, and transferring the second pattern to the layer to be patterned to form a third pattern including a horizontal line and a vertical line with a space therebetween, the space including a width dimension achievable at a resolution limit of lithography.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: July 4, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Todd P. Lukanc, Luigi Capodieci, Christopher A. Spence, Joerg Reiss, Sarah N. McGowan
  • Patent number: 7071017
    Abstract: A micro structure has: a semiconductor substrate; an insulating film having a via hole and formed on the semiconductor substrate; an interlock structure formed on a side wall of the via hole and having a retracted portion and a protruded portion above the retracted portion; a conductive member having at one end a connection portion formed burying the via hole and an extension portion continuous with the connection portion and extending along a direction parallel to a surface of the semiconductor substrate.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: July 4, 2006
    Assignee: Yamaha Corporation
    Inventor: Tamito Suzuki
  • Patent number: 7060522
    Abstract: A structure for a micro-device is fabricated by forming: a first layer of sacrificial material, a layer of structural material over the first sacrificial material layer, a second layer of sacrificial material over the structural material layer and a protective layer over the second sacrificial material layer. A release etch is used to remove the first and second sacrificial material layers at approximately the same rate. A structural feature may also be fabricated by forming: a first layer of a first material; a layer of structural material over the first layer of the first material; at least one cut in the structural material layer; and, a first layer of a sacrificial material, different from the first material, over the structural material layer such that an interface is created between the first layer of the sacrificial material and the first layer of the first material at the at least one cut.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: June 13, 2006
    Assignee: Xerox Corporation
    Inventors: Peter M. Gulvin, Elliott A. Eklund, Joel A. Kubby
  • Patent number: 7052623
    Abstract: A method is proposed for etching a first silicon layer (15) that is provided with an etching mask (10) for defining lateral recesses (21). In a first plasma etching process, trenches (21?) are produced in the region of the lateral recesses (21) by anisotropic etching. The first etching process comes virtually to a standstill as soon as a separating layer (12, 14, 14?, 16), buried between the first silicon layer (15) and a further silicon layer (17), is reached. This separating layer is thereupon etched through in exposed regions (23, 23?) by a second etching process. A subsequent third etching process then etches the further silicon layer (17, 17?). In this manner, free-standing structures for sensor elements can be produced in a simple process which is completely compatible with the method steps in IC integration technology.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: May 30, 2006
    Assignee: Robert Bosch GmbH
    Inventors: Volker Becker, Franz Laermer, Andrea Schilp
  • Patent number: 7052617
    Abstract: A process for producing multiple undercut profiles in a single material. A resist pattern is applied over a work piece and a wet etch is performed to produce an undercut in the material. This first wet etch is followed by a polymerizing dry etch that produces a polymer film in the undercut created by the first wet etch. The polymer film prevents further etching of the undercut portion during a second wet etch. Thus, an undercut profile can be obtained having a larger undercut in an underlying portion of the work piece, utilizing only a single resist application step. The work piece may be a multi-layer work piece having different layers formed of the same material, or it may be a single layer of material.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Karen Huang, Christophe Pierrat
  • Patent number: 7045424
    Abstract: There is provided a method of fabricating a local SONOS type gate structure and a method of fabricating a nonvolatile memory cell having the same. The method includes forming a gate dielectric layer on a semiconductor substrate. A gate pattern, including a gate electrode and a hard mask layer pattern which are sequentially stacked, is formed on the gate dielectric layer. Then, a recess is formed on the boundary of the gate pattern and the gate dielectric layer. The recess is formed on one side wall of the gate pattern, and is prevented from forming on the other side wall of the gate pattern. A tunnel layer and a trapping dielectric layer are sequentially formed on substantially the entire surface of the semiconductor substrate having the recess formed thereon to fill the recess. At least a portion of the trapping dielectric layer is formed inside the recess.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: May 16, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Chul Kim, Jin-Hee Kim, Sung-Ho Kim, Geum-Jong Bae
  • Patent number: 7041605
    Abstract: The present invention provides a semiconductor contact structure and a method of forming the same. An interlayer dielectric is patterned to form a contact hole that exposes a predetermined region of conductive material on a semiconductor substrate. A recess is formed in the conductive material exposed by the contact hole and undercuts the walls that define the sides of the contact hole such that the recess is wider than the contact hole. A contact plug fills the recess as well as the contact hole. The contact plug is maintained in position stably atop the underlying conductive material because the lower part of the contact plug is wider than the upper part of the contact plug. Accordingly, the contact plug will not fall over even if the interlayer dielectric reflows during a subsequent process.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: May 9, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seung-Whan Lee
  • Patent number: 7037851
    Abstract: Method for the production of airgaps in a semiconductor device, the semiconductor device comprising a stack of layers, the stack of layers comprising at least one iteration of a sub-stack of layers.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: May 2, 2006
    Assignee: Interuniversitair Microelektronica Centrum (IMEC vzw)
    Inventors: Jean Paul Gueneau de Mussy, Gerald Beyer, Karen Maex
  • Patent number: 7029592
    Abstract: A process for forming an etch mask having a discontinuous regular pattern utilizes beads, each of which has a substantially unetchable core covered by a removable spacer coating. Beads are dispensed as a hexagonally packed monolayer onto a thermo-adhesive layer. Following a vibrational step which facilitates hexagonal packing of the beads, the resultant assembly is heated so that the beads adhere to the adhesive layer. Excess beads are then discarded. Spacer shell material is then removed from each of the beads, leaving core etch masks. The core-masked target layer is then plasma etched to form a column of target material directly beneath each core. The cores and any spacer material underneath the cores are removed. The resulting circular island of target material may be used as an etch mask during wet isotropic etching of an underlying layer.
    Type: Grant
    Filed: August 11, 2003
    Date of Patent: April 18, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Joel M. Frendt
  • Patent number: 6991953
    Abstract: The current invention provides for encapsulated release structures, intermediates thereof and methods for their fabrication. A multi-layer structure has a capping layer, that preferably comprises silicon oxide and/or silicon nitride, and which is formed over an etch resistant substrate. A patterned device layer, preferably comprising silicon nitride, is embedded in a sacrificial material, preferably comprising poly-silicon, and is disposed between the etch resistant substrate and the capping layer. Access trenches or holes are formed in to capping layer and the sacrificial material is selectively etched through the access trenches, such that portions of the device layer are release from sacrificial material. The etchant preferably comprises a noble gas fluoride NGF2x, (wherein NG=Xe, Kr or Ar: and where x=1, 2 or 3). After etching that sacrificial material, the access trenches are sealed to encapsulate released portions the device layer between the etch resistant substrate and the capping layer.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: January 31, 2006
    Assignee: Silicon Light Machines Corporation
    Inventors: Mike Bruner, Richard Yeh, Jim Hunter
  • Patent number: 6987068
    Abstract: Embodiments of methods in accordance with the present invention provide a planarized surface between a semiconductor device and a portion of surrounding passivation material. The methods involve the use of a hard mask that defines the planarized surface as the interface between the hard mask and both the passivation layer and the device, after a passivation layer etching process. The resulting planarized surface has a small to zero step height, is insensitive to passivation layer non-uniformity and etch non-uniformity, provides full passivation of the device side wall, provides protection for the device against etch-induced damage, and prevents the detrimental effects of passivation layer voids. The methods are applicable to semiconductor device fabrication for electronic and photonic systems such as, but not limited to, cell phones, networking systems, high brightness (HB) light emitting diodes (LEDs), laser diodes (LDs), and multijunction solar cells.
    Type: Grant
    Filed: June 14, 2003
    Date of Patent: January 17, 2006
    Assignee: Intel Corporation
    Inventors: Peter Friis, Jesper Hanberg
  • Patent number: 6982209
    Abstract: The present invention relates to a method for transferring devices. A sacrificing layer is positioned before the devices are manufactured, and a transition substrate is pasted on the devices. Then, a method for lateral wet etching or a method for lateral wet etching with mechanical stripping is applied for removing or stripping the sacrificing layer so as to separate the devices and a substrate. The separated devices are transferred to the transition substrate so as to meet the requirements for various products and applications.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: January 3, 2006
    Assignee: Industrial Technology Research Institute
    Inventors: Yu-Cheng Chen, Wen-Tung Wang, Jung-Fang Chang, Ching-Hsuan Tang
  • Patent number: 6979641
    Abstract: A dielectric is formed over a node location on a semiconductor substrate. The dielectric comprises an insulative material over the node location, an insulative polish stop layer over the insulative material, and an insulator layer over the insulative polish stop layer. A contact opening is formed into the insulator layer, the insulative polish stop layer and the insulative material to proximate the node location. A conductive material is deposited over the insulator layer and to within the contact opening. The conductive material and the insulator layer are polished to at least a portion of the insulative polish stop layer. In one implementation and prior to depositing the conductive material, at least a portion of the contact opening is widened with an etching chemistry that is selective to widen it within the insulative material to a degree greater than any widening of the contact opening within the insulative polish stop layer.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: December 27, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Michael J. Hermes
  • Patent number: 6967141
    Abstract: A semiconductor integrated circuit device and a method of manufacturing the same. The surface of a substrate of an active region surrounded by an element isolation trench is horizontally flat in the center portion of the active region but falls toward the side wall of the element isolation trench in the shoulder portion of the active region. This inclined surface contains two inclined surfaces having different inclination angles. The first inclined surface near the center portion of the active region is relatively steep and the second inclined surface near the side wall of the element isolation trench is gentler than the first inclined surface. The surface of the substrate in the shoulder portion of the active region is wholly rounded and has no angular portion.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: November 22, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Kenji Kanamitsu, Kouzou Watanabe, Norio Suzuki, Norio Ishitsuka
  • Patent number: 6964907
    Abstract: In a BJT, the extrinsic base to collector capacitance is reduced by forming a lateral trench between the extrinsic base region and collector. This is typically done by using an anisotropic wet etch process in a <110> direction of a <100> orientation wafer.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: November 15, 2005
    Assignee: National Semiconductor Corporation
    Inventors: Peter J. Hopper, Alexei Sadovnikov, Vladislav Vashchenko, Peter Johnson
  • Patent number: 6953744
    Abstract: The present invention provides methods of fabricating integrated circuit devices that include a microelectronic substrate and a conductive layer disposed on the microelectronic substrate. An insulating layer is disposed on the conductive layer and the insulating layer includes an overhanging portion that extends beyond the conductive layer. A sidewall insulating region is disposed laterally adjacent to a sidewall of the conductive layer and extends between the overhanging portion of the insulating layer and the microelectronic substrate.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: October 11, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyoung-Joon Kim, Young-Wook Park, Byeong-Yun Nam
  • Patent number: 6949482
    Abstract: An embodiment of the invention reduces the external resistance of a transistor by utilizing a silicon germanium alloy for the source and drain regions and a nickel silicon germanium self-aligned silicide (i.e., salicide) layer to form the contact surface of the source and drain regions. The interface of the silicon germanium and the nickel silicon germanium silicide has a lower specific contact resistivity based on a decreased metal-semiconductor work function between the silicon germanium and the silicide and the increased carrier mobility in silicon germanium versus silicon. The silicon germanium may be doped to further tune its electrical properties. A reduction of the external resistance of a transistor equates to increased transistor performance both in switching speed and power consumption.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: September 27, 2005
    Assignee: Intel Corporation
    Inventors: Anand Murthy, Boyan Boyanov, Glenn A. Glass, Thomas Hoffmann
  • Patent number: 6943092
    Abstract: Methods of manufacturing semiconductor devices are disclosed. In a disclosed example, a multi-layered insulating structure is deposited on a semiconductor substrate, an opening is formed in the multi-layered insulating structure above the semiconductor substrate, and a trench is formed in the semiconductor substrate under the opening. Then, a groove is formed on an edge position of an intermediate layer of the multi-layered insulating structure by wet-etching the intermediate layer of the multi-layered insulating layer transversely using a pull back process. Then, a liner oxide layer is deposited on the groove and the trench. An oxide layer then fills the trench and the groove without generating voids or divots in the oxide layer of the trench.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: September 13, 2005
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: In-Su Kim
  • Patent number: 6943050
    Abstract: The invention provides a method of fabricating a semiconductor device in which a more satisfactory selective etching ratio is ensured when AlGaAs is used for a layer provided with a semiconductor element, and provides a semiconductor element composite, an electro-optical apparatus, and an electronic system, each including the semiconductor device fabricated by the method. A method of fabricating a semiconductor device includes: forming a functional layer provided with a semiconductor element on a substrate with a sacrificial layer therebetween; and detaching the functional layer from the substrate by etching the sacrificial layer. The sacrificial layer is composed of an N-type Al(x1)Ga(1?x1)As layer and the functional layer is composed of an Al(x2)Ga(1?x2)As semiconductor layer, where x1>x2. Using hydrochloric acid or hydrofluoric acid with a concentration of 0.01% to 5% by weight as an etchant, the sacrificial layer is etched while the sacrificial layer is being irradiated with light.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: September 13, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Takayuki Kondo
  • Patent number: 6939735
    Abstract: A first microelectronic element is provided with leads having anchor ends connected to contacts and tip ends moveable with respect to the first microelectronic element. The leads can be provided on a carrier sheet that is assembled to the first microelectronic element, or may be formed in situ on the surface of the first element. The leads may be unitary strips of a conductive material, and the anchor ends of the leads may be bonded to the contacts of the first microelectronic element by processes such as thermosonic or ultrasonic bonding. Alternatively, stub leads may be provided on a separate carrier sheet or formed in situ on the front surface of the first microelectronic element, and these stub leads may be connected by wire bonds to the contacts of the first microelectronic element so as to form composite leads. The tip ends of the leads are joined to a second microelectronic element that is moved away from the first microelectronic element so as to deform the leads.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: September 6, 2005
    Assignee: Tessera Inc.
    Inventors: John W. Smith, Mitchell Koblis
  • Patent number: 6924203
    Abstract: A heterojunction bipolar transistor (HBT) device structure is provided which facilitates the reduction of the base-collector capacitance and a method for making the same. The base-collector capacitance is decreased by fabricating a base micro-bridge connecting a base contact to a base mesa on the HBT. The base micro-bridge is oriented along about one of 001, 010, 00{overscore (1)}, and 0{overscore (1)}0 direction to a major flat of the wafer. The HBT device employs a phosphorous based collector material. During removal of the phosphorous based collector material, the base layer is undercut forming the micro-bridge, successfully removing the collector and sub-collector material below the bridge due to the orientation of the micro-bridge. The removal of collector and sub-collector material reduces the base-collector junction area, and therefore reduce the base-collector junction capacitance.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: August 2, 2005
    Assignee: Northrop Grumman Corporation
    Inventors: Donald James Sawdai, Gregory Scott Leslie, Augusto Gutierrez-Aitken
  • Patent number: 6921705
    Abstract: A method for forming an isolation layer of a semiconductor device. The method includes: a) sequentially laminating a pad oxide layer and pad nitride layer on a semiconductor substrate; b) selectively removing the pad nitride layer, selectively removing the pad oxide layer and the substrate, thereby forming a trench in the substrate; c) implanting ions in a direction with a tilted angle into a side wall of the pad nitride layer located in an upper side of the trench; d) removing the side wall portion of the pad nitride layer in the trench, in which the ions are implanted, to form a sloped side wall of the pad nitride layer, wherein the sloped side wall is inclined in an inverse direction; e) filling a HDP oxid layer in an upper surface of an entire structure including the trench; f) planarizing the HDP oxide layer and the pad nitride layer; and g) removing a remaining pad nitride layer, thereby forming an isolation layer.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: July 26, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Myung Gyu Choi, Hyung Sik Kim
  • Patent number: 6921693
    Abstract: A semiconductor device comprising: a first insulation film 60 formed above a base substrate 10; a second insulation film 61 formed on the first insulation film and having different etching characteristics from the first insulation film; and a capacitor 79 including a storage electrode 68 formed on the second insulation film, projected therefrom, the storage electrode being formed, extended downward from side surfaces of the second insulation film. The lower ends of the storage electrodes are formed partially below the etching stopper film, whereby the storage electrodes are fixed by the etching stopper film. Accordingly, the storage electrodes are prevented from peeling off in processing, such as wet etching, etc. The semiconductor device can be fabricated at high yields.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: July 26, 2005
    Assignee: Fujitsu Limited
    Inventors: Osamu Tsuboi, Tomohiko Tsutsumi, Kazutaka Yoshizawa
  • Patent number: 6913987
    Abstract: Word lines of a semiconductor component are provided with an encapsulation of dielectric material, Spacers of oxide extend alongside at the sidewalls of the word lines. The spacers are subsequently covered together with the word lines with a nitride layer. Borophosporosilicate glass is introduced between those portions of the nitride layer which respectively belong to a word line and is removed selectively with respect to the nitride using a mask. Contact hole fillings for the electrical connection of the buried bit lines are introduced into the contact holes thus formed.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: July 5, 2005
    Assignees: Infineon Technologies AG, Infineon Technologies Flash GmbH & Co. KG
    Inventors: Juerg Haufe, Josef Willer
  • Patent number: 6905943
    Abstract: In one embodiment, a method for forming a semiconductor structure in manufacturing a semiconductor device includes providing a pad layer on a surface of a substrate, providing a nitride layer on the pad layer, and providing a sacrificial oxide layer on the nitride layer. In a first etching step, at least the sacrificial oxide and nitride layers are etched to define opposing substantially vertical surfaces of at least the sacrificial oxide and nitride layers. In a second etching step, the nitride layer is etched such that the opposing substantially vertical surfaces of the nitride layer are recessed from the opposing substantially vertical surfaces of the sacrificial oxide layer, the sacrificial oxide layer substantially preventing the nitride layer from decreasing in thickness as a result of the etching of the nitride layer. In a third etching step, the substrate is etched to form a trench extending into the substrate for purposes of defining one or more isolation regions adjacent the trench.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: June 14, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Juanita DeLoach, Freidoon Mehrad, Brian M. Trentman, Troy A. Yocum
  • Patent number: 6905626
    Abstract: A method of preventing notching during a cyclical etching and deposition of a substrate with an inductively coupled plasma source is provided by the present invention. In accordance with the method, the inductively coupled plasma source is pulsed to prevent charge build up on the substrate. The off state of the inductively coupled plasma source is selected to be long enough that charge bleed off can occur, but not so long that reduced etch rates result due to a low duty cycle. The pulsing may be controlled such that it only occurs when the substrate is etched such that an insulating layer is exposed. A bias voltage may also be provided to the insulating layer and the bias voltage may be pulsed in phase or out of phase with the pulsing of the inductively coupled plasma source.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: June 14, 2005
    Assignee: Unaxis USA Inc.
    Inventors: Russell Westerman, David Johnson, Shouliang Lai
  • Patent number: 6905976
    Abstract: The structure and method of forming a notched gate MOSFET disclosed herein addresses such problems as device reliability. A gate dielectric (e.g. gate oxide) is formed on the surface of an active area on the semiconductor substrate, preferably defined by an isolation trench region. A layer of polysilicon is then deposited on the gate dielectric. This step is followed by depositing a layer of silicon germanium) (SiGe). The sidewalls of the polysilicon layer are then laterally etched, selective to the SiGe layer to create a notched gate conductor structure, with the SiGe layer being broader than the underlying polysilicon layer. Sidewall spacers are preferably formed on sidewalls of the SiGe layer and the polysilicon layer. A silicide layer is preferably formed as a self-aligned silicide from a polysilicon layer deposited over the SiGe layer, to reduce resistance of the gate conductor. One or more other processing steps (e.g.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: June 14, 2005
    Assignee: International Business Machines Corporation
    Inventors: Jochen Beintner, Yujun Li, Naim Moumen, Porshia Shane Wrschka
  • Patent number: 6905616
    Abstract: Micro devices are formed in situ in a high density in a substrate comprising a masked silicon layer over a stop layer of a silicon compound, by anisotropically etching the desired feature in the silicon layer, overetching to form a notch at the silicon-stop layer interface, depositing a protective fluorocarbon polymer layer on the sidewalls and bottom of the etched silicon layer, and isotropically etching to separate the etched feature from the stop layer. This method avoids the problems of stiction common in other methods of forming micro devices.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: June 14, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Anisul H Khan, Sanjay M Thekdi, Sharma V Pamarthy
  • Patent number: 6884734
    Abstract: A blocking layer is formed on a hard mask having an initial thickness. Lines are fabricated by patterning the blocking layer and the hard mask to provide a line segment, the line segment having a first dimension measured across the line segment; reacting a surface layer of the line segment to form a layer of a reaction product on a remaining portion of the line segment; and removing the reaction product without attacking the remaining portion of the line segment and without attacking the blocking layer and the substrate to form the line segment with a second dimension across the line segment that is smaller than the first dimension. The blocking layer prevents the formation of reaction product on the hard mask so that the initial thickness of the hard mask is maintained. The blocking layer can also serve as an ARC layer for photoresist patterning so that the use of an additional film layer is not required.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: April 26, 2005
    Assignee: International Business Machines Corporation
    Inventors: Frederick W. Buehrer, Derek Chen, William Chu, Scott Crowder, Sadanand V. Deshpande, David V. Horak, Wesley C. Natzle, Hung Y. Ng, Len Y. Tsou, Chienfan Yu
  • Patent number: 6864138
    Abstract: The invention encompasses DRAM constructions, capacitor constructions, integrated circuitry, and methods of forming DRAM constructions, integrated circuitry and capacitor constructions. The invention encompasses a method of forming a capacitor wherein: a) a first layer is formed; b) a semiconductive material masking layer is formed over the first layer; c) an opening is etched through the masking layer and first layer to a node; d) a storage node layer is formed within the opening and in electrical connection with the masking layer; e) a capacitor storage node is formed from the masking layer and the storage node layer; and f) a capacitor dielectric layer and outer capacitor plate are formed operatively proximate the capacitor storage node.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: March 8, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, John K. Zahurak
  • Patent number: 6846750
    Abstract: According to the present invention, there is provided a method of manufacturing a semiconductor device, where a soluble thin film which is soluble in a dissolving liquid is used. According to the method of the present invention, when a soluble thin film is formed between a film to be processed which should be patterned and a mask pattern, it becomes possible to remove the mask pattern by lifting-off. On the other hand, when the thin film is used for a dummy layer for forming an air wiring structure, the dummy layer can be removed without performing ashing using oxygen plasma.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: January 25, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tokuhisa Ohiwa, Shoji Seta, Nobuo Hayasaka, Katsuya Okumura, Akihiro Kojima, Junko Ohuchi, Tsukasa Azuma, Hideo Ichinose, Ichiro Mizushima
  • Patent number: 6843596
    Abstract: A device for thermal sensing is based on only one thermopile. The junctions of the thermopile are coupled thermally to a first region which includes a first substance while the hot junctions of the thermopile are coupled thermally to a second region which includes a second substance. The first and second regions are separated and thermally isolated from each other. The device can further include a membrane to thermally and electrically isolate the thermopile and to mechanically support the thermopile.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: January 18, 2005
    Assignee: Vivactis NV
    Inventor: Katarina Verhaegen
  • Publication number: 20040253829
    Abstract: Embodiments of methods in accordance with the present invention provide a planarized surface between a semiconductor device and a portion of surrounding passivation material. The methods involve the use of a hard mask that defines the planarized surface as the interface between the hard mask and both the passivation layer and the device, after a passivation layer etching process. The resulting planarized surface has a small to zero step height, is insensitive to passivation layer non-uniformity and etch non-uniformity, provides full passivation of the device side wall, provides protection for the device against etch-induced damage, and prevents the detrimental effects of passivation layer voids. The methods are applicable to semiconductor device fabrication for electronic and photonic systems such as, but not limited to, cell phones, networking systems, high brightness (HB) light emitting diodes (LEDs), laser diodes (LDs), and multijunction solar cells.
    Type: Application
    Filed: June 14, 2003
    Publication date: December 16, 2004
    Inventors: Peter Friis, Jesper Hanberg
  • Patent number: 6818545
    Abstract: A barrier layer is deposited over a layer of passivation including in an opening to a contact pad created in the layer of passivation. A column of three layers of metal is formed overlying the barrier layer and aligned with the contact pad and having a diameter that is about equal to the surface of the contact pad. The three metal layers of the column comprise, in succession when proceeding from the layer that is in contact with the barrier layer, a layer of pillar metal, a layer of under bump metal and a layer of solder metal. The layer of pillar metal is reduced in diameter, the barrier layer is selectively removed from the surface of the layer of passivation after which reflowing of the solder metal completes the solder bump of the invention.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: November 16, 2004
    Assignee: Megic Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 6815243
    Abstract: A method for fabricating MEMS structure includes etching a recess in an upper surface of a substrate that is bonded to a wafer that ultimately forms the MEMS structure. Accordingly, once the etching processes of the wafer are completed, the recess facilitates the release of an internal movable structure within the fabricated MEMS structure without the use of a separate sacrificial material.
    Type: Grant
    Filed: April 26, 2001
    Date of Patent: November 9, 2004
    Assignee: Rockwell Automation Technologies, Inc.
    Inventors: Mark A. Lucak, Richard D. Harris, Michael J. Knieser, Robert J. Kretschmann
  • Patent number: 6787423
    Abstract: High-speed semiconductor devices with reduced source/drain junction capacitance and reduced junction leakage based on strain silicon technology are fabricated by extending a shallow trench isolation region under the strained silicon layer. Embodiments include anisotropically etching the trench region and subsequently isotropically etching the trench to form laterally extending regions under the strained silicon layer. Embodiments also include filling the trench with an insulating material such that an air pocket is formed in the trench.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: September 7, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Qi Xiang
  • Patent number: 6787387
    Abstract: A method for fabricating an electronic device includes the steps of: preparing a cavity defining sacrificial layer, at least the upper surface of which is covered with an etch stop layer; forming at least one first opening in the etch stop layer, thereby partially exposing the surface of the cavity defining sacrificial layer; etching the cavity defining sacrificial layer through the first opening, thereby defining a provisional cavity under the etch stop layer and a supporting portion that supports the etch stop layer thereon; and etching away a portion of the etch stop layer, thereby defining at least one second opening that reaches the provisional cavity through the etch stop layer and expanding the provisional cavity into a final cavity.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: September 7, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kimiya Ikushima, Hiroyoshi Komobuchi, Mikiya Uchida
  • Patent number: 6783994
    Abstract: A method of fabricating a magnetoresistive random access memory device comprising the steps of providing a substrate, forming a first conductive layer positioned on the substrate, forming a conductive material stack region with a flat surface, the conductive material stack region being positioned on a portion of the first conductive layer, and forming a magnetoresistive random access memory device positioned on the flat surface of the conductive material stack region, the magnetoresistive random access memory device being isolated from the first conductive layer and subsequent layers grown thereon.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: August 31, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Nicholas D. Rizzo, Kelly W. Kyler, Gregory W. Grynkewich
  • Publication number: 20040146236
    Abstract: Integrated semiconductor devices are manufactured by providing a layered semiconductor structure having an exposed surface and providing a mask on the exposed surface thereby defining a masked region in the layered structure underneath said mask. The mask has a main direction of extension with a width across the main direction and an end portion. The layered structure is etched over a given depth starting from the exposed surface, whereby the masked region is left substantially unaffected by the etching process and has an end surface extending underneath the end portion of the mask. A further layered semiconductor structure is grown around the masked region to produce an integrated layered semiconductor structure having at the end surface an interface between the layered structure and the further grown structure. The mask width is selected to be less than 50 microns.
    Type: Application
    Filed: January 24, 2003
    Publication date: July 29, 2004
    Inventors: Ruiyu Fang, Marzia Rosso, Simone Codato, Cesare Rigo
  • Patent number: 6756247
    Abstract: Deep reactive ion etching creates a single mask MEMS structure 20-50 &eegr;m deep on the top surface of a wafer. Thereafter, a bottom surface etch cooperates with trenches formed in the MEMS structure to provide through trenches which release large area structures of arbitrary shape and having a thickness up to that of the wafer. The released structure is supported in the wafer by MEMS support beams and motion is detected and affected by MEMS sensors and actuators, respectively.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: June 29, 2004
    Inventors: Timothy J. Davis, Scott G. Adams
  • Patent number: 6753266
    Abstract: An exemplary method of fabricating an integrated circuit can include depositing a reflective metal material layer over a layer of polysilicon, depositing an anti-reflective coating over the reflective metal material layer, trim etching the anti-reflective coating to form a pattern, etching the reflective metal material layer according to the pattern, and removing portions of the polysilicon layer using the pattern formed from the removed portions of anti-reflective coating.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: June 22, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Todd P. Lukanc, Scott A. Bell, Christopher F. Lyons, Marina V. Plat, Ramkumar Subramanian