Utilizing Etch Stop Layer Patents (Class 438/740)
  • Patent number: 8440533
    Abstract: A high-K/metal gate semiconductor device is provided with larger self-aligned contacts having reduced resistance. Embodiments include forming a first high-k metal gate stack on a substrate between source/drain regions, a second high-k metal gate stack on an STI region, and a first ILD between the metal gate stacks, forming an etch stop layer and a second ILD sequentially over the substrate, with openings in the second ILD over the metal gate stacks, forming spacers on the edges of the openings, forming a third ILD over the second ILD and the spacers, removing the first ILD over the source/drain regions, removing the etch stop layer, the second ILD, and the third ILD over the source/drain regions, adjacent the spacers, and over a portion of the spacers, forming first trenches, removing the third ILD over the second high-k metal gate stack and over a portion of the spacers, forming second trenches, and forming contacts in the first and second trenches.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: May 14, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Eng Huat Toh, Elgin Quek
  • Patent number: 8426316
    Abstract: Disclosed are a method and a system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap plating base removal process.” In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: April 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: John Michael Cotte, Nils Deneke Hoivik, Christopher Vincent Jahnes, Robert Luke Wisnieff
  • Patent number: 8409996
    Abstract: A method of manufacturing a Bulk Acoustic Wave device by providing an active layer formed of an electro-mechanical transducer material, providing a first electrode on the active layer, defining a first electrode portion of the device, whereby a remaining portion of the device is defined around the first electrode, providing a stop-layer on the first electrode, depositing a first dielectric layer on the resultant structure, and planarizing the first dielectric layer until the stop-layer on the first electrode is exposed.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: April 2, 2013
    Assignee: NXP B.V.
    Inventors: Frederik Willem Maurits Vanhelmont, Rensinus Cornelis Strijbos, Andreas Bernardus Maria Jansman, Robertus Adrianus Maria Wolters, Johannes van Wingerden, Fredericus Christiaan van den Heuvel
  • Patent number: 8377829
    Abstract: A substrate is provided having an oxide layer, a first nitride-silicon, a STI, and a second nitride-silicon. A pattern poly-silicon layer on the second nitride-silicon layer is etched to form a deep trench opening. Etching the pattern poly-silicon layer also deepens the deep trench opening. Then, a conductive layer is filled in the deep trench opening.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: February 19, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Ta-Chuan Yeh, Ni-Min Chung, Kao-Su Huang, Yung-Chang Lin, Ruey-Chyr Lee, Chien-Kuo Wang
  • Patent number: 8377817
    Abstract: Provided is a three dimensional semiconductor device. The device may include mold layers vertically and sequentially stacked, a conductive pattern between the stacked mold layers, a plugging pattern vertically penetrating the stacked mold layers, an intermediate pattern between the conductive pattern and the plugging pattern, and protective layer patterns between the mold layers and the plugging pattern, wherein the protective layer patterns are separated by the intermediate pattern.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: February 19, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chanjin Park, Kihyun Hwang, Dongchul Yoo, Junkyu Yang, Gyungjin Min, Yoochul Kong, Hanmei Choi
  • Patent number: 8377785
    Abstract: Disclosed is a transistor that incorporates epitaxially deposited source/drain semiconductor films and a method for forming the transistor. A crystallographic etch is used to form recesses between a channel region and trench isolation regions in a silicon substrate. Each recess has a first side, having a first profile, adjacent to the channel region and a second side, having a second profile, adjacent to a trench isolation region. The crystallographic etch ensures that the second profile is angled so that all of the exposed recess surfaces comprise silicon. Thus, the recesses can be filled by epitaxial deposition without divot formation. Additional process steps can be used to ensure that the first side of the recess is formed with a different profile that enhances the desired stress in the channel region.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventor: Thomas W. Dyer
  • Patent number: 8330257
    Abstract: In a method of manufacturing a thin film transistor substrate, a semiconductor pattern is formed on a substrate, a first etch stop layer and a second etch stop layer are sequentially formed on the semiconductor pattern, and the second etch stop layer and the first etch stop layer are sequentially patterned to form a second etch stop pattern and a first etch stop pattern. Thus, when the second etch stop layer is patterned using an etchant, the first etch stop layer covers the semiconductor pattern, thereby preventing the semiconductor pattern from being etched by the etchant.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: December 11, 2012
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Ho Moon, Joon-Hoo Choi, Kyu-Sik Cho, Byoung-Seong Jeong, Yong-Hwan Park
  • Patent number: 8324111
    Abstract: Disclosed are a liquid crystal display device employing an amorphous zinc oxide-based semiconductor as an active layer, and a method for fabricating the same, whereby device stability can be secured by employing an etch stopper structure and device characteristics can be enhanced by minimizing exposure and deterioration of the active layer excluding content regions by virtue of the design of the etching stopper in a shape like “H”. Also, the liquid crystal display device and the fabrication method thereof can further form a semiconductor pattern and an insulating layer pattern on the intersection between the gate line and the data line, so as to compensate a stepped portion, thereby preventing an occurrence of short-circuit.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: December 4, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Jong-Uk Bae, Hyun-Sik Seo, Im-Kuk Kang
  • Patent number: 8282842
    Abstract: A cleaning method following an opening etching is provided. First, a semiconductor substrate having a dielectric layer is provided. The hard mask layer includes at least a metal layer. The opening etch is then carried out to form at least an opening in the dielectric layer. A nitrogen (N2) treatment process is performed to clean polymer residues having carbon-fluorine (C—F) bonds remained in the opening. Finally, a wet cleaning process is performed.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: October 9, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chieh-Ju Wang, Jyh-Cherng Yau, Yu-Tsung Lai, Jiunn-Hsiung Liao
  • Patent number: 8252684
    Abstract: A method of forming a trench by a silicon-containing mask is provided in the present invention. The method includes providing a substrate covered with a silicon-containing mask. Then, anti-etch dopants are implanted into the silicon-containing mask to transform the silicon-containing mask into an etching resist mask. Later, the substrate and the etching resist mask are patterned to form at least one trench. Next, a silicon-containing layer is formed to fill into the trench. Finally, the silicon-containing layer is etched by taking the etching resist mask as a mask.
    Type: Grant
    Filed: May 30, 2011
    Date of Patent: August 28, 2012
    Assignee: Nanya Technology Corp.
    Inventors: Hsiu-Chun Lee, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8252694
    Abstract: A plasma etching method that can increase the selection ratio of a stop layer to an interlayer insulation film. The plasma etching method is carried out on a substrate that has the interlayer insulation film formed of CwFx (x and w are predetermined natural numbers) and a stop layer that stops etching and is exposed at the bottom of a hole or a trench formed in the interlayer insulation film. The interlayer insulation film and the stop layer are exposed at the same time to plasma generated from CyFz (y and z are predetermined natural numbers) gas and hydrogen-containing gas.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Naotsugu Hoshi, Noriyuki Kobayashi
  • Patent number: 8236702
    Abstract: A semiconductor substrate having an etch stop layer and at least a dielectric layer disposed from bottom to top is provided. The dielectric layer and the etching stop layer is then patterned to form a plurality of openings exposing the semiconductor substrate. A dielectric thin film is subsequently formed to cover the dielectric layer, the sidewalls of the openings, and the semiconductor substrate. The dielectric thin film disposed on the dielectric layer and the semiconductor substrate is then removed while the dielectric thin film disposed on the sidewalls remains.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: August 7, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yi Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin, Po-Chao Tsao
  • Patent number: 8232178
    Abstract: A method for forming a semiconductor device with stressed trench isolation is provided, comprising: providing a silicon substrate (S11); forming at least two first trenches in parallel on the silicon substrate and forming a first dielectric layer which is under tensile stress in the first trenches (S12); forming at least two second trenches, which have an extension direction perpendicular to that of the first trenches, in parallel on the silicon substrate, and forming a second dielectric layer in the second trenches (S13); and after forming the first trenches, forming a gate stack on a part of the silicon substrate between two adjacent first trenches, wherein the channel length direction under the gate stack is parallel to the extension direction of the first trenches (S14). The present invention supply tensile stress in the channel width direction of a MOS transistor, so as to improve performance of PMOS and/or NMOS transistors.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: July 31, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhou Yin, Zhijiong Luo, Huilong Zhu
  • Patent number: 8227339
    Abstract: Embodiments of the invention provide a method of creating vias and trenches with different length. The method includes depositing a plurality of dielectric layers on top of a semiconductor structure with the plurality of dielectric layers being separated by at least one etch-stop layer; creating multiple openings from a top surface of the plurality of dielectric layers down into the plurality of dielectric layers by a non-selective etching process, wherein at least one of the multiple openings has a depth below the etch-step layer; and continuing etching the multiple openings by a selective etching process until one or more openings of the multiple openings that are above the etch-stop layer reach and expose the etch-stop layer. Semiconductor structures made thereby are also provided.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Shom Ponoth, David V. Horak, Takeshi Nogami, Chih-Chao Yang
  • Patent number: 8211809
    Abstract: It is intended to produce a semiconductor device with a stable gate length, using an end-point detection process based on monitoring a plasma emission intensity during dry etching for setting a gate length.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: July 3, 2012
    Assignee: Unisantis Electronics Singapore Pte Ltd.
    Inventors: Fujio Masuoka, Shintaro Arai
  • Patent number: 8158521
    Abstract: A method of lowering the dielectric constant of an organosilicon low k dielectric layer while improving the hardness and thermal stability is provided. A deposited layer of carbon doped oxide, HSQ, or MSQ is cured and treated with a He plasma which improves hardness for a subsequent CMP step and lowers the dielectric constant. There is no loss of H2O or CH4 during the He treatment. The low k dielectric layer is then treated with a H2 plasma which converts some of the Si—O and Si—CH3 bonds near the surface to Si—H bonds, thereby further lowering the dielectric constant and increasing thermal stability that improves breakdown resistance. Moisture uptake is also reduced. The method is especially useful for interconnect schemes with deep sub-micron ground rules. Surprisingly, the k value obtained from two different plasma treatments is lower than when two He treatments or two H2 treatment are performed.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: April 17, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Chung-Chi Ko, Tien I Bao, Yun-Chen Lu
  • Patent number: 8101468
    Abstract: The reliability of a semiconductor device which has the semiconductor components which were mounted on the same surface of the same substrate via the bump electrodes with which height differs, and with which package structure differs is improved. Semiconductor component 2 of WPP structure is mounted on the main surface of the interposer substrate which forms a semiconductor device via a plurality of bump electrodes. Semiconductor component 3 of CSP structure is mounted on the main surface of an interposer substrate via a plurality of bump electrodes with larger diameter and contiguity pitch than the above-mentioned bump electrode. And under-filling 4a and 4b mutually different, are filled up between the facing surfaces of this interposer substrate and semiconductor components 2, and between the facing surfaces of the interposer substrate and semiconductor components 3, respectively.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: January 24, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takahiro Sugimura, Satoshi Imasu, Norihiko Sugita, Takafumi Betsui
  • Patent number: 8084339
    Abstract: Embodiments related to the cleaning of interface surfaces in a semiconductor wafer fabrication process via remote plasma processing are disclosed herein. For example, in one disclosed embodiment, a semiconductor processing apparatus includes a processing chamber, a load lock coupled to the processing chamber via a transfer port, a wafer pedestal disposed in the load lock and configured to support a wafer in the load lock, a remote plasma source configured to provide a remote plasma to the load lock, and an ion filter disposed between the remote plasma source and the wafer pedestal.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: December 27, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: George Andrew Antonelli, Jennifer O'Loughlin, Tony Xavier, Mandyam Sriram, Bart Van Schravendijk, Vishwanathan Rangarajan, Seshasayee Varadarajan, Bryan L. Buckalew
  • Patent number: 8076230
    Abstract: A method for simultaneous formation of a self-aligned contact of a core region and a local interconnect of a peripheral region of an integrated circuit includes etching a cap dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the cap dielectric layer, etching a dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the dielectric layer of the dielectric layer, etching a liner layer simultaneously on a shoulder of sidewall spacers associated with the hole and with the trench of the dielectric layer without etching the liner layer at a bottom area of the hole and the trench, performing an oxygen flushing to remove polymer residues, and etching simultaneously through the liner layer that lines the bottom area of the hole and the trench.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co. Ltd.
    Inventor: An Chyi Wei
  • Patent number: 8062982
    Abstract: A high yield plasma etch process for an interlayer dielectric layer of a semiconductor device is provided, according to an embodiment of which a dielectric layer is etched with a nitrogen-containing plasma. In this way, the formation of polymers on a backside bevel of a substrate is avoided or substantially reduced. Remaining polymer at the backside bevel can be removed in situ by post-etch treatment. Further, a plasma etching device is provided comprising a chamber, a substrate receiving space for receiving a substrate, a plasma generator for generating a plasma in the chamber and a temperature conditioner for conditioning a temperature at an outer circumferential region of the substrate receiving space and thereby minimizing temperature gradients at a bevel of the wafer.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: November 22, 2011
    Assignee: Advanced Micro Devices, Inc
    Inventors: Daniel Fischer, Matthias Schaller, Matthias Lehr, Kornelia Dittmar
  • Patent number: 7989357
    Abstract: Method of patterning a semiconductor structure is disclosed. The method involves crystallographic etching techniques to enhance a patterned monocrystalline layer as a hard mask. In one embodiment, the method includes bonding a monocrystalline silicon layer to a non-crystalline protective layer; patterning the monocrystalline layer to form a hard mask; enhancing the pattern of the hard mask; stripping the hard mask after conventional etching of protective layer; and forming a gate oxide thereon. The enhanced patterning of the hard mask is performed with crystallographic etching to replace optical effects of rounding and dimension narrowing at the ends of a defined region with straight edges and sharp corners. A resulting structure from the use of the enhanced patterned hard mask includes a layer of composite materials on the substrate of the semiconductor structure. The layer of composite materials includes different materials in discrete blocks defined by straight edges within the layer.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: August 2, 2011
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, James J. Toomey
  • Patent number: 7988873
    Abstract: A method of forming a mask pattern for fabricating a semiconductor device. A first region and a second region, having an intersecting third region, are defined in the semiconductor substrate. An inorganic mask layer is etched in the first region to a predetermined thickness, and etched in the second region to another predetermined thickness. While the inorganic mask layer is etched in the first and second region, an organic mask layer is exposed in the third region. The organic mask layer exposed in the third region is removed to form a mask pattern. Consequently, double exposure is performed using the organic mask layer and the inorganic mask layer, so that a fine feature size that closely follows a desired layout can be formed, damage to the organic mask layer by ashing is prevented, and adhesiveness between the organic mask layer and the inorganic mask layer can be improved.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: August 2, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyong-Soo Kim, Sang-Hyeop Lee
  • Patent number: 7985690
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes providing a substrate; forming one or more gate structures over the substrate; forming a buffer layer over the substrate, including over the one or more gate structures; forming an etch stop layer over the buffer layer; forming a interlevel dielectric (ILD) layer over the etch stop layer; and removing a portion of the buffer layer, a portion of the etch stop layer, and a portion of the ILD layer over the one or more gate structures.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: July 26, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kong-Beng Thei, Harry Chuang, Su-Chen Lai, Gary Shen
  • Patent number: 7981810
    Abstract: The present invention addresses this need by providing a method for forming transparent PECVD deposited ashable hardmasks (AHMs) that have high plasma etch selectivity to underlying layers. Methods of the invention involve depositing the AHM using dilute hydrocarbon precursor gas flows and/or low process temperatures. The AHMs produced are transparent (having absorption coefficients of less than 0.1 in certain embodiments). The AHMs also have the property of high selectivity of the hard mask film to the underlying layers for successful integration of the film, and are suitable for use with 193 nm generation and below lithography schemes wherein high selectivity of the hard mask to the underlying layers is required. The lower temperature process also allows reduction of the overall thermal budget for a wafer.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: July 19, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Pramod Subramonium, Zhiyuan Fang, Jon Henri
  • Patent number: 7981801
    Abstract: A method for fabricating a semiconductor device is provided which includes providing a semiconductor substrate, forming a plurality of transistors, each transistor having a dummy gate structure, forming a contact etch stop layer (CESL) over the substrate including the dummy gate structures, forming a first dielectric layer to fill in a portion of each region between adjacent dummy gate structures, forming a chemical mechanical polishing (CMP) stop layer over the CESL and first dielectric layer, forming a second dielectric layer over the CMP stop layer, performing a CMP on the second dielectric layer that substantially stops at the CMP stop layer, and performing an overpolishing to expose the dummy gate structure.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: July 19, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Kong-Beng Thei, Su-Chen Lai, Gary Shen
  • Patent number: 7972955
    Abstract: Provided are a three dimensional semiconductor memory device and a method of fabricating the same. The method includes forming a stepwise structure by using mask patterns and a sacrificial mask pattern formed on the mask patterns as a consumable etch mask.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: July 5, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sukhun Choi, Kyunghyun Kim, ChangSup Mun, Byoungkeun Son
  • Patent number: 7932542
    Abstract: A method of fabricating an integrated circuit including arranging a plurality of cells to form a desired floor plan of the integrated circuit, wherein each cell comprises at least one transistor, forming a plurality of circuit constituents from the plurality of cells of the floor plan, wherein each circuit constituent comprises at least one cell and belongs to one of a plurality circuit constituent types, and applying mechanical stress to channel regions of the at least one transistor of each cell based on the circuit constituent type of the circuit constituent to which the cell belongs.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: April 26, 2011
    Assignee: Infineon Technologies AG
    Inventors: Joerg Berthold, Winfried Kamp, Fritz Rothacher
  • Patent number: 7923345
    Abstract: A method of manufacturing a semiconductor device wherein a laminate structure comprising a sacrificial layer is sandwiched between two etch stop layers (8,11) and which separates a semiconductor membrane (9) from a bulk substrate (1) is used to provide an underetched structure. Access trenches (4) and support trenches (5) are formed in the layered structure through the thickness of the semiconductor layer (9) and through the upper etch stop layer (8). The support trenches extend deeper through the sacrificial layer (12) and the lower etch stop layer and are filled. The sacrificial layer is exposed and etched away selectively to the etch stop layers to form a cavity (30) and realise a semiconductor membrane which is attached to the bulk substrate via a vertical support structure comprising the filled support trenches.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: April 12, 2011
    Assignee: NXP B.V.
    Inventors: Jan Sonsky, Wibo D. Van Noort
  • Patent number: 7923372
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of etch mask patterns over an etch target layer, each of the etch mask patterns including a first hard mask, a first pad layer, and a second pad layer, forming spacers on both sidewalls of the etch mask patterns, the spacers including a material substantially the same as that of the first pad layer, forming a second hard mask over the resulting substrate structure until gaps between the etch mask patterns are filled, the second hard mask including a material different from that of the first hard mask but substantially the same as that of the second pad layer, planarizing the second hard mask until the first pad layer is exposed, removing the first pad layer and the spacers, and etching the etch target layer using the remaining first and second hard masks as an etch barrier layer.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: April 12, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young-Jun Kim, Sang-Wook Park
  • Patent number: 7919414
    Abstract: A method for forming fine patterns in a semiconductor device includes forming an etch stop layer and a sacrificial layer over an etch target layer, forming photoresist patterns over the sacrificial layer, etching the sacrificial layer by using the photoresist patterns as an etch barrier to form sacrificial patterns, forming spacers on both sidewalls of the sacrificial patterns, removing the sacrificial patterns, and etching the etch stop layer and the etch target layer by using the spacer as an etch barrier.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: April 5, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Won-Kyu Kim, Jun-Hyeub Sun
  • Patent number: 7914693
    Abstract: The present invention relates to a micro/nano imprint lithography technique and in particular, to a stamp that is used in an UV-micro/nano imprint lithography process or thermal micro/nano imprint lithography process and a method for fabricating the stamp. The method for fabricating a stamp for micro/nano imprint lithography of the present invention includes i) depositing a thin film of diamond-like carbon on a substrate, ii) applying resist on the diamond-like carbon thin film, iii) patterning the resist, iv) etching the diamond-like carbon thin film by using the resist as a protective layer, and v) removing the resist.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: March 29, 2011
    Assignee: Korea Institute of Machinery & Materials
    Inventors: Jun-Ho Jeong, Young-Suk Sim, Ki-Don Kim, Dae-Geun Choi, Eung-Sug Lee
  • Patent number: 7892969
    Abstract: A method of manufacturing a semiconductor device has forming a first nitride layer over a substrate, forming a first oxide layer on the first nitride layer, forming a second nitride layer on the first oxide layer, forming a photoresist layer over the second nitride layer, forming a opening in the photoresist layer, etching the second nitride layer using the photoresist layer as a mask such that the opening is reached to the first oxide layer, etching the first oxide layer using the second nitride layer as a mask such that the opening is reached to the first nitride layer, etching the first oxide layer such that bottom zone of the opening is increased in diameter, and etching the first nitride layer using the first oxide layer as a mask such that the opening is reached to the substrate thereby to form contact hole reaching to the substrate.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: February 22, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masanori Tsutsumi, Jusuke Ogura
  • Patent number: 7871922
    Abstract: A method for forming a semiconductor structure includes forming a sacrificial layer over a substrate. A first dielectric layer is formed over the sacrificial layer. A plurality of conductive structures are formed within the sacrificial layer and the first dielectric layer. The sacrificial layer is treated through the first dielectric layer, at least partially removing the sacrificial layer and forming at least one air gap between two of the conductive structures. A surface of the first dielectric layer is treated, forming a second dielectric layer over the first dielectric layer, after the formation of the air gap. A third dielectric layer is formed over the second dielectric layer. At least one opening is formed within the third dielectric layer such that the second dielectric layer substantially protects the first dielectric layer from damage by the step of forming the opening.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: January 18, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 7834455
    Abstract: The reliability of a semiconductor device which has the semiconductor components which were mounted on the same surface of the same substrate via the bump electrodes with which height differs, and with which package structure differs is improved. Semiconductor component 2 of WPP structure is mounted on the main surface of the interposer substrate which forms a semiconductor device via a plurality of bump electrodes. Semiconductor component 3 of CSP structure is mounted on the main surface of an interposer substrate via a plurality of bump electrodes with larger diameter and contiguity pitch than the above-mentioned bump electrode. And under-filling 4a and 4b mutually different, are filled up between the facing surfaces of this interposer substrate and semiconductor components 2, and between the facing surfaces of the interposer substrate and semiconductor components 3, respectively.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: November 16, 2010
    Assignee: Renesas Electronics Corporation
    Inventors: Takahiro Sugimura, Satoshi Imasu, Norihiko Sugita, Takafumi Betsui
  • Patent number: 7825034
    Abstract: A substrate having an etch stop layer and at least a dielectric layer disposed from bottom to top is provided. The dielectric layer is then patterned to form a plurality of openings exposing the etch stop layer. A dielectric thin film is subsequently formed to cover the dielectric layer, the sidewalls of the openings, and the etch stop layer. The dielectric thin film disposed on the dielectric layer and the etch stop layer is then removed.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: November 2, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Po-Chao Tsao, Chang-Chi Huang, Ming-Tsung Chen
  • Patent number: 7820508
    Abstract: A semiconductor device having a capacitor and a method of fabricating the same may be provided. A method of fabricating a semiconductor device may include forming an etch stop layer and a mold layer sequentially on a substrate, patterning the mold layer to form a mold electrode hole exposing a portion of the etch stop layer, etching selectively the exposed etch stop layer by an isotropic dry etching process to form a contact electrode hole through the etch stop layer to expose a portion of the substrate, forming a conductive layer on the substrate and removing the conductive layer on the mold layer on the mold layer to form a cylindrical bottom electrode in the mold and contact electrode holes. The isotropic dry etching process may utilize a process gas including main etching gas and selectivity adjusting gas. The selectivity adjusting gas may increase an etch rate of the etch stop layer by more than an etch rate of the mold layer by the isotropic wet etching process.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: October 26, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Min Oh, Jeong-Nam Han, Chang-Ki Hong, Woo-Gwan Shim, Im-Soo Park
  • Patent number: 7816162
    Abstract: After a p-type cladding layer, an etching rate reducing layer and a p-type contact layer are formed in order on an n-type substrate, an etching mask is formed. Then, by using the etching mask, the p-type contact layer, the etching rate reducing layer and the p-type cladding layer are partially etched in the region outside the etching mask with an etchant. At this time, the etching rate of the layers by the etchant is slower in the etching rate reducing layer than in the p-type cladding layer and the p-type contact layer. Then, a metal thin film is formed such that the film continuously coats an upper surface and side surfaces of a ridge consisting of the above layers left after the etching step. A normal vector at a surface coated with the thin film has an upward component.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: October 19, 2010
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Shuichi Hirukawa, Katsuhiko Kishimoto
  • Patent number: 7799698
    Abstract: A deposition/etching/deposition process is provided for filling a gap in a surface of a substrate. A liner is formed over the substrate so that distinctive reaction products are formed when it is exposed to a chemical etchant. The detection of such reaction products thus indicates that the portion of the film deposited during the first etching has been removed to an extent that further exposure to the etchant may remove the liner and expose underlying structures. Accordingly, the etching is stopped upon detection of distinctive reaction products and the next deposition in the deposition/etching/deposition process is begun.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: September 21, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lin Zhang, Xiaolin Chen, DongQing Li, Thanh N. Pham, Farhad K. Moghadam, Zhuang Li, Padmanabhan Krishnaraj
  • Patent number: 7799653
    Abstract: A method for forming a capacitor in a dynamic random access memory, comprising steps of: providing a semiconductor substrate having at least a transistor, whereon an interlayer dielectric layer having at least a first plug is formed so that the first plug is connected to the drain of the transistor; depositing an etching stop layer on the first plug and the interlayer dielectric layer; depositing a first insulating layer on the etching stop layer; forming at least a second plug on the first insulating layer and the etching stop layer so that the second plug is connected to the first plug; depositing a second insulating layer on the first insulating layer and the second plug; forming at least a mold cavity in the second insulating layer so that the aperture of the mold cavity is larger than the diameter of the second plug and there is a deviation between the mold cavity and the second plug; removing the first insulating layer in the mold cavity until the etching stop layer; depositing a first electrode layer t
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: September 21, 2010
    Assignee: Industrial Technology Research Institute
    Inventors: Heng-Yuan Lee, Ching-Chiun Wang, Tai-Yuan Wu
  • Patent number: 7799664
    Abstract: One inventive aspect relates to a method of selective epitaxial growth of source/drain (S/D) areas. The method includes providing a substrate having a first and a second substrate area, the first area including at least one gate stack. The method includes applying a poly-Si or poly-SiGe top layer on the substrate, the top layer being etchable with the same etch chemistry as the substrate. The method includes removing the poly-Si or poly-SiGe top layer from the first area selectively towards the poly-Si or poly-SiGe top layer in the second area. The method includes removing simultaneously the poly-Si or poly-SiGe top layer on the second area and at least a part of the substrate in the S/D areas of the first area selectively to the gate stack. The method includes performing a selective epitaxial growth of S/D areas in the first area.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: September 21, 2010
    Assignee: IMEC
    Inventors: Peter Verheyen, Rita Rooyackers, Denis Shamiryan
  • Patent number: 7776753
    Abstract: A method of fabricating a semiconductor device includes the steps of forming (or providing) a series of layers formed on a substrate, the layers including a first plurality of layers including an n-type ohmic contact layer, a p-type modulation doped quantum well structure, an n-type modulation doped quantum well structure, and a fourth plurality of layers including a p-type ohmic contact layer. Etch stop layers are used during etching operations when forming contacts to the n-type ohmic contact layer and contacts to the n-type modulation doped quantum well. Preferably, each such etch stop layer is made sufficiently thin to permit current tunneling therethrough during operation of optoelectronic/electronic devices realized from this structure (including heterojunction thyristor devices, n-channel HFET devices, p-channel HFET devices, p-type quantum-well-base bipolar transistor devices, and n-type quantum-well-base bipolar transistor devices).
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: August 17, 2010
    Assignees: University of Connecticut, Opel, Inc.
    Inventors: Geoff W. Taylor, Scott W. Duncan
  • Patent number: 7767587
    Abstract: Interconnect structures possessing a non-porous (dense) low-k organosilicate glass (OSG) film utilizing a porous low-k OSG film as an etch stop layer or a porous low-k OSG film using a non-porous OSG film as a hardmask for use in semiconductor devices are provided herein. The novel interconnect structures are capable of delivering improved device performance, functionality and reliability owing to the reduced effective dielectric constant of the stack compared with that of those conventionally employed and also because of the relatively uniform line heights made feasible by these unique and seemingly counterintuitive features.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: August 3, 2010
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. M. Fuller, Timothy J. Dalton
  • Patent number: 7763547
    Abstract: In an etch process for forming via openings and trench openings in a low-k dielectric layer, the material removal of an underlying etch stop layer is decoupled from the etching through the low-k dielectric in that the reduction in thickness is substantially achieved during the resist removal. For this purpose, the resist plasma etch may correspondingly be controlled to obtain the desired target thickness of the etch stop layer, wherein fluorine may be provided from an external source and/or fluorine may be generated in a controlled manner from polymer layers deposited within the etch chamber.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: July 27, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Thomas Werner, Matthias Schaller, Massud Aminpur
  • Patent number: 7759244
    Abstract: A method for fabricating an inductor structure or a dual damascene structure includes following steps. First, a dielectric layer is provided. Subsequently, a first etching process is performed on the dielectric layer so as to form a first opening in the dielectric layer. A polymer is also formed in the first opening during the first etching process. Next, a polymer-removing step is performed to remove the polymer. Thereafter, a second etching process is performed on the dielectric layer to form a second opening in the dielectric layer. Furthermore, the first opening and the second opening are filled with a conductive material so as to form an inductor structure or a dual damascene structure.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: July 20, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Jeng-Ho Wang
  • Patent number: 7759256
    Abstract: According to the present invention, a method for making a micro-electro-mechanical system (MEMS) device comprises: providing a substrate with devices and interconnection formed thereon, the substrate having a to-be-etched region; depositing and patterning an etch stop layer; depositing and patterning metal and via layers to form an MEMS structure, the MEMS structure including an isolation region between MEMS parts, an isolation region exposed upwardly, and an isolation region exposed downwardly, wherein the isolation region exposed downwardly is in contact with the etch stop layer; masking the isolation region exposed upwardly, and removing the isolation region between MEMS parts; and removing the etch stop layer.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: July 20, 2010
    Assignee: Pixart Imaging Incorporation
    Inventors: Chuan Wei Wang, Hsin Hui Hsu
  • Patent number: 7759251
    Abstract: Methods for forming a dual damascene dielectric structure in a porous ultra-low-k (ULK) dielectric material by using gas-cluster ion-beam processing are disclosed. These methods minimize hard-mask layers during dual damascene ULK processing and eliminate hard-masks in the final ULK dual damascene structure. Methods for gas-cluster ion-beam etching, densification, pore sealing and ashing are described that allow simultaneous removal of material and densification of the ULK interfaces. A novel ULK dual damascene structure is disclosed with densified interfaces and no hard-masks.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: July 20, 2010
    Assignee: Tel Epion Corporation
    Inventors: Robert M. Geffken, John J. Hautala
  • Patent number: 7755171
    Abstract: A transistor structure having a recessed source/drain and buried etch stop layer (e.g., a silicon germanium layer), and a related method, are disclosed. In one embodiment, the transistor structure includes a substrate including a substantially trapezoidal silicon pedestal over an etch stop layer; a gate atop the substantially trapezoidal silicon pedestal; a source/drain region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the etch stop layer; and a stress liner overlying the gate and the source/drain region, the stress liner imparting a stress to the source/drain region and a channel of the gate. The recessed source/drain allows recessing without contacting the P-N junction, and allows improved application of stress to the channel.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: July 13, 2010
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 7754601
    Abstract: A semiconductor package including an interconnect air gap and method for making the same. The semiconductor package includes a dielectric layer, a metallic interconnect, an air gap disposed between the dielectric layer and interconnect, and a spacer interspersed between the metallic interconnect and air gap. The metallic interconnect is laterally supported by and isolated from the air gap by the spacer. A method for making the same is also provided.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: July 13, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsien-Wei Chen, Shin-Puu Jeng, Hao-Yi Tsai
  • Patent number: 7741222
    Abstract: An etch stop layer is formed over a first structure by depositing a metal oxide material over the first structure and annealing the deposited metal oxide material. A second structure is formed over the etch stop layer, and a formation is etched through the second structure using the etch stop layer as an etch stop.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: June 22, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Jae-Young Park, Won-Shik Shin, Hyeon-Deok Lee, Ki-Vin Im, Seok-Woo Nam, Hun-Young Lim, Won-Jun Jang, Yong-Woo Hyung
  • Patent number: 7709370
    Abstract: The present invention provides a method of fabricating an interconnect structure in which a patternable low-k material replaces the need for utilizing a separate photoresist and a dielectric material. Specifically, this invention relates to a simplified method of fabricating single-damascene and dual-damascene low-k interconnect structures with at least one patternable low-k dielectric and at least one inorganic antireflective coating. In general terms, a method is provided that includes providing at least one patternable low-k material on a surface of an inorganic antireflective coating that is located atop a substrate. The inorganic ARC is liquid deposited and comprises a polymer that has at least one monomer unit comprising the formula M-R1 wherein M is at least one of Si, Ge, B, Sn, Fe, Ta, Ti, Ni, Hf and La and R1 is a chromophore. At least one interconnect pattern is formed within the at least one patternable low-k material and thereafter the at least one patternable low-k material is cured.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip J. Brock, Blake W. Davis, Wu-Song S. Huang, Qinghuang Lin, Alshakim Nelson, Sampath Purushothaman, Ratnam Sooriyakumaran