Utilizing Etch Stop Layer Patents (Class 438/740)
  • Publication number: 20080042210
    Abstract: A method of fabricating a semiconductor device is provided. A substrate is first provided, and than several IO devices and several core devices are formed on the substrate, wherein those IO devises include IO PMOS and IO NMOS, and those core devises include core PMOS and core NMOS. Thereafter, a buffer layer is formed on the substrate, and then the buffer layer except a surface of the IO PMOS is removed in order to reduce the negative bias temperature instability (NBTI) of the IO PMOS. Afterwards, a tensile contact etching stop layer (CESL) is formed on the IO NMOS and the core NMOS, and a compressive CESL is formed the core PMOS.
    Type: Application
    Filed: August 18, 2006
    Publication date: February 21, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Wen-Han Hung, Cheng-Tung Huang, Li-Shian Jeng, Kun-Hsien Lee, Shyh-Fann Ting, Tzyy-Ming Cheng, Chia-Wen Liang
  • Patent number: 7329610
    Abstract: A method for SAC etching is provided involving a) etching a Si wafer having a nitride present thereon with a first etching gas containing a first perfluorocarbon and carbon monoxide, and b) etching the resultant Si wafer having an initially etched nitride photoresist thereon with a second etching gas containing a second perfluorocarbon in the substantial absence of carbon monoxide, wherein the etching steps a) and b) are performed at high RF power and low pressure compared to conventional processes to provide higher selectivity etching and a larger process window for SAC etching, as well as the ability to perform SAC etching and island contact etching under the same conditions with high verticality of the island contact and SAC walls.
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: February 12, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Kazuo Tsuchiya
  • Patent number: 7323407
    Abstract: Methods of fabricating dual damascene interconnections suitable for use in microelectronic devices and similar applications using a diffusion barrier layer to protect against base materials during processing are provided. The methods include the steps of: filling a via with a hydrogen silsesquioxane (HSQ)-based filler as expressed by the general chemical formula: (RSiO3/2)x(HSiO3/2)y, wherein x and y satisfy the relationships x+y=1 and 0<x<y<1, and R is selected from C4-C24 alkyl, C4-C24 alkenyl, C4-C24 alkoxy, C8-C24 alkenoxy, substituted C4-C24 hydrocarbon, non-substituted C1-C4 hydrocarbon or substituted C1-C4 hydrocarbon; and, partially etching the filler filling the via and an interlayer dielectric to form a trench, which is connected to the via in the region where the dual damascene interconnections are to be formed. Then, the filler remaining in the via is removed, and the trench and the via are filled with an interconnection material to complete the dual damascene interconnections.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: January 29, 2008
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Kyoung-woo Lee, Jae-yeol Maeng, Jae-hak Kim, Il-whan Oh, Hong-jae Shin
  • Publication number: 20080003823
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming an interlayer insulating layer and an etch-stop nitride layer over a semiconductor substrate, etching the etch-stop nitride layer and the interlayer insulating layer to form contact holes, forming contacts in the contact holes, forming an oxide layer on the entire surface including the contacts, etching the oxide layer using the etch-stop nitride layer as a target, thus forming trenches through which the contacts and the etch-stop nitride layer adjacent to the contacts are exposed, and forming bit lines in the trenches.
    Type: Application
    Filed: December 29, 2006
    Publication date: January 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Whee Won Cho, Seung Hee Hong, Suk Joong Kim, Cheol Mo Jeong
  • Patent number: 7309656
    Abstract: A method for forming a step channel of a semiconductor device is disclosed. The method for forming a step channel of a semiconductor device comprises forming a hard mask layer pattern defining a step channel region on a semiconductor substrate, forming a spacer on a sidewall of the hard mask layer pattern, and simultaneously etching the spacer and a predetermined thickness of the semiconductor substrate using the hard mask layer pattern and the spacer as an etching mask.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: December 18, 2007
    Assignee: Hynix Semiconductors, Inc.
    Inventor: Young Man Cho
  • Patent number: 7291562
    Abstract: In the present invention a dummy structure is formed in a first deposited layer in order to create topography, generally a raised area, in a deposited layer formed above and later than the first deposited layer. This topography may be advantageous in later steps. In one embodiment, transferred topography allows an alignment or overlay mark obscured by an opaque layer to be located by this enhanced topography. In another embodiment, a raised volume of dielectric material prevents features at the outside of an array area from being overpolished during a CMP step. This method may prove useful in other contexts as well. The size, shape, and placement of the dummy structure is tailored to form the desired excess volume.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: November 6, 2007
    Inventors: Yung-Tin Chen, Samuel V Dunton
  • Patent number: 7282447
    Abstract: A process is provided for forming vertical contacts in the manufacture of integrated circuits and devices. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The process may be repeated during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Charles H Dennison, Trung T. Doan
  • Patent number: 7256137
    Abstract: A method of manufacturing a semiconductor device is provided comprising the steps of: (a) forming a semiconductor element on a substrate, the semiconductor element having at least one nickel silicide contact region, a first etch stop layer formed over the element and an insulating layer formed over the first etch stop layer; (b) forming an opening through the insulating layer over the contact region at least to the first etch stop layer; (c) removing a portion of the first etch stop layer contacting a selected contact region using a process that does not substantially oxidize with the contact region, to form a contact opening to the contact region; and (d) filling the contact opening with conductive material to form a contact.
    Type: Grant
    Filed: February 7, 2005
    Date of Patent: August 14, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chii-Ming Wu, Chih-Wei Chang, Shau-Lin Shue, Ju-Wang Hsu, Ming-Huan Tsai
  • Patent number: 7256134
    Abstract: The present invention includes a process for selectively etching a low-k dielectric material formed on a substrate using a plasma of a gas mixture in a plasma etch chamber. The gas mixture comprises a fluorine-rich fluorocarbon or hydrofluorocarbon gas, a nitrogen-containing gas, and one or more additive gases, such as a hydrogen-rich hydrofluorocarbon gas, an inert gas and/or a carbon-oxygen gas. The process provides a low-k dielectric to a photoresist mask etching selectivity ratio greater than about 5:1, a low-k dielectric to a barrier/liner layer etching selectivity ratio greater about 10:1, and a low-k dielectric etch rate higher than about 4000 ?/min.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: August 14, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yunsang Kim, Neungho Shin, Heeyeop Chae, Joey Chiu, Yan Ye, Fang Tian, Xiaoye Zhao
  • Patent number: 7250370
    Abstract: A method of lowering the dielectric constant of an organosilicon low k dielectric layer while improving the hardness and thermal stability is provided. A deposited layer of carbon doped oxide, HSQ, or MSQ is cured and treated with a He plasma which improves hardness for a subsequent CMP step and lowers the dielectric constant. There is no loss of H2O or CH4 during the He treatment. The low k dielectric layer is then treated with a H2 plasma which converts some of the Si—O and Si—CH3 bonds near the surface to Si—H bonds, thereby further lowering the dielectric constant and increasing thermal stability that improves breakdown resistance. Moisture uptake is also reduced. The method is especially useful for interconnect schemes with deep sub-micron ground rules. Surprisingly, the k value obtained from two different plasma treatments is lower than when two He treatments or two H2 treatment are performed.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: July 31, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Chung-Chi Ko, Tien I. Bao, Yun-Chen Lu
  • Patent number: 7238601
    Abstract: A conductive spacer (36, 122) in a sidewall region (30, 16) of a device (10, 100) is formed. The conductive spacer is formed adjacent sidewalls of the current electrode regions (18, 12). In one embodiment, a thin silicide layer (34) is formed at a top surface and a sidewall of the current electrode regions followed by an anisotropic etch of the conductive layer (32) used to form the thin silicide layer. The anisotropic etch of the conductive layer results in conductive spacers (36) adjacent sidewalls of the current electrode regions where these conductive spacers may allow for reduced contact resistance thus improving device performance. The conductive spacers may be formed adjacent current electrode regions of a MOSFET device, FINFET device, bipolar device, or Shotky-Barrier device.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: July 3, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Varughese Mathew, Leo Mathew
  • Patent number: 7217371
    Abstract: The present invention relates to interfacing new sensors to incumbent controls. In particular, it relates to optically interfacing a new sensor, such as a spectrometer with plasma generator, to an incumbent electro-optical sensor. Logic and resources to control activation of the incumbent electro-optical sensor may be included. Particular aspects of the present invention are described in the claims, specification and drawings.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: May 15, 2007
    Assignee: Lightwind Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 7202180
    Abstract: Methods of forming a semiconductor device are provided by forming a gate pattern that includes a gate electrode on a substrate. Lightly doped impurity diffusion layers are formed in the substrate at both sides of the gate pattern. Spacers are formed on sidewalls of the gate pattern. The spacers having a bottom width. Impurity ions are implanted using the gate pattern and the spacer as a mask to form a heavily doped impurity diffusion layer in the substrate. The spacers are removed. A conformal etch stop layer is formed on the gate pattern and the substrate. The etch stop layer is formed to a thickness of at least the bottom width of the spacers.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: April 10, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kwang-Ok Koh, Kun-Ho Kwak, Byung-Jun Hwang, Han-Soo Kim
  • Patent number: 7202157
    Abstract: A method for forming a metallic interconnect in a semiconductor device is disclosed. An example method forms an IDL on a substrate including predetermined devices, forms a via hole in the IDL, depositing a first metal diffusion preventive layer and a metal layer to form a via plug on the IDL, and performs a planarization process using the first metal diffusion preventive layer using as an etching stop layer. In addition, the example method forms a metallic interconnect on the first metal diffusion preventive layer, deposits the other metal diffusion preventive layer on the metallic interconnect, and etches a predetermined part of first and second metal diffusion preventive layers and the metallic interconnect using a mask pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 10, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Ahn
  • Patent number: 7195966
    Abstract: Methods of fabricating semiconductor devices are provided. Transistors are provided on a semiconductor substrate. A first interlayer insulating layer is provided on the transistors. A second interlayer insulating layer is provided on the first interlayer insulating layer. The second interlayer insulating layer defines a trench such that at least a portion of an upper surface of the first interlayer insulating layer is exposed. A resistor pattern is provided in the trench such that the at least a portion of the resistor pattern contacts the exposed portion of the first interlayer insulating layer. Related methods are also provided.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: March 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Taek Park, Jung-Dal Choi, Jung-Young Lee, Hyun-Suk Kim
  • Patent number: 7192881
    Abstract: By heat treating a silicon dioxide liner prior to patterning a silicon nitride spacer layer, the etch selectivity of the silicon dioxide with respect to the silicon nitride is increased, thereby reducing or eliminating the problem of pitting through the silicon dioxide layer. This allows further scaling of the devices, wherein an extremely thin silicon dioxide liner is required to obtain an accurate lateral patterning of the dopant profile in the drain and source regions.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: March 20, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thorsten Kammler, Karsten Wieczorek, Christoph Schwan
  • Patent number: 7183222
    Abstract: A dual damascene interconnect structure, produced using etch chemistry based on C2H2F4, includes (i) an etch stop layer of either undoped silicon oxide or doped silicon oxide, and (ii) dielectric layers both above and below the etch stop layer of the other (i.e., when the etch stop layer comprises undoped silicon oxide, the dielectric layers above and below the etch stop layer independently comprise a doped silicon oxide; and when the etch stop layer comprises doped silicon oxide, the dielectric layers above and below the etch stop layer independently comprise an undoped silicon oxide).
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: February 27, 2007
    Assignee: Cypress Semiconductor Corporation
    Inventor: Jianmin Qiao
  • Patent number: 7183190
    Abstract: A method of efficiently and inexpensively fabricating a chip-size package having an electrode pitch expanded by forming a conductor wiring on the electrode forming surface side of a semiconductor chip, especially, a method for facilitating wiring and bump forming. A semiconductor device comprising a semi-conductor elements and conductor wirings formed on the semiconductor elements by etching wiring-forming metal foil; and a fabrication method for a semiconductor device comprising the steps of laminating wiring forming metal foil on the electrode forming surface side on the semiconductor, forming a resist wiring pattern on the metal foil, etching the metal foil, and slicing the device into individual elements.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: February 27, 2007
    Assignees: Toyo Kohan Co., Ltd.
    Inventors: Kinji Saijo, Shingji Ohsawa, Hiroaki Okamoto, Kazuo Yoshida, Tadatomo Suga
  • Patent number: 7176084
    Abstract: A self-aligned conductive spacer process for fabricating sidewall control gates on both sides of a floating gate for high-speed RAM applications, which can well define dimensions and profiles of the sidewall control gates. A conductive layer is formed on the dielectric layer to cover a floating gate patterned on a semiconductor substrate. Oxide spacer are formed on the conductive layer adjacent to the sidewalls of the floating gate. Performing an anisotropic etch process on the conductive layer and using the oxide spacers as a hard mask, a conductive spacers are self-aligned fabricated at both sides of the floating gate, serving as sidewall control gates.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: February 13, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzyh-Cheang Lee, Fu-Liang Yang, Jiunn-Ren Hwang, Tsung-Lin Lee
  • Patent number: 7172960
    Abstract: A method including introducing a dielectric layer over a substrate between an interconnection line and the substrate, the dielectric layer comprising a plurality of alternating material layers; and patterning an interconnection to the substrate. An apparatus comprising a substrate comprising a plurality of devices formed thereon; and an interlayer dielectric layer comprising a base layer and a cap layer, the cap layer comprising a plurality of alternating material layers overlying the substrate.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: February 6, 2007
    Assignee: Intel Corporation
    Inventors: Sanjay S. Natarajan, Sean W. King, Khaled A. Elamrawi
  • Patent number: 7169662
    Abstract: Methods for making a semiconductor structure are discussed. The methods include forming openings in a high-density area and a high-speed area, and forming a metallization layer simultaneously into the high-density area and the high-speed area. The metallization layer includes a combination of substances and compounds that reduce vertical resistance, reduce horizontal resistance, and inhibit cross-diffusion.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: January 30, 2007
    Assignee: Micron Technology, inc.
    Inventors: Chih-Chen Cho, Zhongze Wang
  • Patent number: 7160477
    Abstract: A contact magnetic transfer (CMT) master template is made by first adhering a plastic film to a first surface of a silicon wafer. A resist pattern is then formed on the polyimide film and the polyimide is reactive-ion-etched through the resist to form recesses. The resist is removed and a chemical-mechanical-polishing (CMP) stop layer is deposited over the non-recessed regions of the polyimide, and optionally into the bottoms of the recesses. A layer of magnetic material is then deposited over the polyimide film to fill the recesses. A CMP process is then performed to remove magnetic material above the recesses and above the non-recessed regions and continued until the CMP stop layer is reached. The resulting upper surface of the polyimide film is then a continuous planar film of magnetic islands and regions of CMP stop layer material that function as the nonmagnetic regions of the template.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: January 9, 2007
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Zvonimir Z. Bandic, A. David Erpelding, Jordan Asher Katine, Quang Le, Kim Y. Lee, Jui-Lung Li, Michael J. Rooks
  • Patent number: 7141460
    Abstract: A process is described for transferring a photoresist pattern into a substrate. In one embodiment a stack comprised of a top photoresist layer, a middle ARC layer, and a bottom hardmask is formed over a gate electrode layer. A line in the photoresist pattern is anisotropically transferred through the ARC and hardmask. Then an isotropic etch to trim the linewidth by 0 to 50 nm per edge is performed simultaneously on the photoresist, ARC and hardmask. This method minimizes the amount of line end shortening to less than three times the dimension trimmed from one line edge. Since a majority of the photoresist layer is retained, the starting photoresist thickness can be reduced by 1000 Angstroms or more to increase process window. The pattern is then etched through the underlying layer to form a gate electrode. The method can also be used to form STI features in a substrate.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: November 28, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Jie Huang, Hun-Jan Tao
  • Patent number: 7141511
    Abstract: The present technique relates to a method and apparatus to provide a dielectric etch stop layer that prevents shorts for a buried digit layer as an interconnect. In a memory device, such as DRAM or SRAM, various layers are deposited to form structures, such as PMOS gates, NMOS gates, memory cells, P+ active areas, and N+ active areas. These structures are fabricated through the use of multiple masking processes, which may cause shorts when a buried digit layer is deposited if the masking processes are misaligned. Accordingly, a dielectric etch stop layer, such as aluminum oxide Al2O3 or silicon carbide SiC, may be utilized in the array to prevent shorts between the wordlines, active areas, and the buried digit layer when the contacts are misaligned.
    Type: Grant
    Filed: April 27, 2004
    Date of Patent: November 28, 2006
    Assignee: Micron Technology Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 7138340
    Abstract: Disclosed is a method for fabricating a semiconductor device without damaging a hard mask of a conductive structure. The method includes the steps of: forming a plurality of conductive structures on a substrate, each conductive structure including a conductive layer and a hard mask; sequentially forming a first nitride layer, an oxide layer, a second nitride layer, and an etch stop layer on the plurality of conductive structures; forming an inter-layer insulation layer on the etch stop layer; removing a portion of the inter-layer insulation layer through a planarization process; performing a self-aligned etching (SAC) process selectively etching the inter-layer insulation layer, the second nitride layer and the oxide layer until the SAC etching process is stopped at the first nitride layer to thereby form a contact hole exposing the first nitride layer; and removing the first nitride layer by performing a blanket etch-back process to thereby expose the conductive layer.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: November 21, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Tae-Woo Jung
  • Patent number: 7132362
    Abstract: A semiconductor device having a contact hole capable of maintaining contact resistance of a contact connecting multi-layered interconnections with each other and a method for manufacturing the same are provided. An interconnection layer, a capping layer, and an etching stopper are sequentially formed on a semiconductor substrate. An interlayer insulating layer is deposited over the resulting structure. The etching stopper is formed of a material having a high etching selectivity with respect to the interlayer insulating layer. Then a first contact hole is formed to expose the surface of the etching stopper by etching a predetermined portion of the interlayer insulating layer. Either the etching stopper exposed by the first contact hole or the etching stopper exposed by the first contact hole and part of the capping layer are etched to form a second contact hole.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: November 7, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Mun-Mo Jeong
  • Patent number: 7125786
    Abstract: A method of fabricating an integrated circuit on a silicon carbide substrate is disclosed that eliminates wire bonding that can otherwise cause undesired inductance. The method includes fabricating a semiconductor device in epitaxial layers on a surface of a silicon carbide substrate and with at least one metal contact for the device on the uppermost surface of the epitaxial layer. The opposite surface of the substrate is then ground and polished until it is substantially transparent. The method then includes masking the polished surface of the silicon carbide substrate to define a predetermined location for at least one via that is opposite the device metal contact on the uppermost surface of the epitaxial layer and etching the desired via in steps. The first etching step etches through the silicon carbide substrate at the desired masked location until the etch reaches the epitaxial layer. The second etching step etches through the epitaxial layer to the device contacts.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 24, 2006
    Assignee: Cree, Inc.
    Inventors: Zoltan Ring, Scott Sheppard, Helmut Hagleitner
  • Patent number: 7125791
    Abstract: A method of forming round bottom corners for conductive lines in an integrated circuit is presented. Two approaches are taken to achieve a preferred rounding profile. For both approaches, a trench is formed and conductive materials are filled in the trench. The etch stop layer (ESL) approach involves forming an ESL underlying an inter-level dielectric (ILD). After etching a trench in the ILD, the ESL is etched to further round trench corners. The chemistry approach involves changing the etching chemistry when etching the trench. The two approaches can be used separately or combined in the preferred embodiments of the present invention. In a preferred embodiment, a via structure comprising two copper lines and an interconnecting via is formed. By using the ESL and chemistry approaches, the bottom corners are formed substantially round. The preferred embodiment of the present invention is applied to conductive features that are close enough to cause time dependent dielectric breakdown.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: October 24, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chung-Shi Liu
  • Patent number: 7119006
    Abstract: A method of fabricating an integrated circuit, having copper metallization formed by a dual damascene process, is disclosed. A layered insulator structure is formed over a first conductor (22), within which a second conductor (40) is formed to contact the first conductor. The layered insulator structure includes a via etch stop layer (24), an interlevel dielectric layer (26), a trench etch stop layer (28), an intermetal dielectric layer (30), and a hardmask layer (32). The interlevel dielectric layer (26) and the intermetal dielectric layer (30) are preferably of the same material. A via is partially etched through the intermetal dielectric layer (30), and through an optional trench etch stop layer (28). A trench location is then defined by photoresist (38), and this trench location is transferred to the hardmask layer (32).
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: October 10, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Robert Kraft
  • Patent number: 7115450
    Abstract: A process is described for transferring a photoresist pattern into a substrate. In one embodiment a stack comprised of a top photoresist layer, a middle ARC layer, and a bottom hardmask is formed over a gate electrode layer. A line in the photoresist pattern is anisotropically transferred through the ARC and hardmask. Then an isotropic etch to trim the linewidth by 0 to 50 nm per edge is performed simultaneously on the photoresist, ARC and hardmask. This method minimizes the amount of line end shortening to less than three times the dimension trimmed from one line edge. Since a majority of the photoresist layer is retained, the starting photoresist thickness can be reduced by 1000 Angstroms or more to increase process window. The pattern is then etched through the underlying layer to form a gate electrode. The method can also be used to form STI features in a substrate.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: October 3, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Jie Huang, Hun-Jan Tao
  • Patent number: 7115491
    Abstract: A method for forming a self-aligned contact on a semiconductor substrate provided with a plurality of field-effect transistors. The method comprises the steps of: forming a thin nitride insulating layer on a gate structure and a diffusion region of the transistor; forming a first insulating layer, which is then planarized to expose the nitride insulating layer on the gate structure; etching through the first insulating layer to form a first part of a contact hole; forming a first part of a contact in said first part of the contact hole; forming a second insulating layer; etching through the second insulating layer to form a second part of the contact hole; and forming a second part of the contact in the second part of the contact hole. The two-stage etching process for forming a conductive contact effectively prevents over-etching and short-circuiting between a wordline and a bitline.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: October 3, 2006
    Assignee: Nanya Technology Corporation
    Inventors: Tse-Yao Huang, Kuo-Chien Wu, Yi-Nan Chen
  • Patent number: 7112537
    Abstract: A method of fabricating an interconnection structure of a semiconductor device includes the steps of successively depositing an etch-stop layer and an intermetal insulating layer on a semiconductor substrate, forming a sacrificial insulating layer on the intermetal insulating layer, forming a photoresist pattern on the sacrificial insulating layer to define a trench formation region, etching the intermetal insulating layer using a mask of the photoresist pattern to form a trench, and etching the entire etch-stop layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 26, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dong-Yeal Keum
  • Patent number: 7101807
    Abstract: In the step of forming a gate electrode in the region having the line width in which the miniaturization has been progressed, the present invention provides a method of fabricating a thin film transistor (TFT) whose patterning margin can be enlarged without requiring carrying out the photolithography multiple times. According to a fabricating method of the present invention, the mask pattern of the first layer and the mask pattern of the second layer can be formed in a self-aligned process and as a mask pattern which is analog and whose size are different from each other by performing the photolithography once. The hut shape gate can be formed in a self-aligned process by setting the line width located on the active layer so as to be Li in the mask pattern of the first layer, and so as to be L? in the mask pattern of the second layer, and by in turn carrying out the anisotropic etching using the mask pattern of the second layer and the anisotropic etching using the mask pattern of the first layer.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: September 5, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Akira Ishikawa
  • Patent number: 7101769
    Abstract: Disclosed herein is a method of forming a reliable high performance capacitor using an isotropic etching process to optimize the surface area of the lower electrodes while preventing an electrical bridge from forming between the lower electrodes. This method includes multiple sacrificial oxide layers that are formed over a substrate, an insulating layer with contact plugs, and an etch stopping layer. The sacrificial oxide layers are patterned and additionally isotropically etched to form an expanded capacitor hole. An exposed portion of the etch stopping layer is then etched to form a final capacitor hole exposing an upper portion of the contact plug and a portion of the insulating layer adjacent thereto. The semiconductor substrate having the final capacitor hole is cleaned to remove a native oxide film on the exposed upper portion of the contact plug.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: September 5, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Beom Kim, Won-Mo Park, Yun-Jae Lee, Joon-Mo Kwon, Myoung-Hee Han, Man-Jong Yu
  • Patent number: 7091087
    Abstract: A flash memory comprising floating gate devices being connected to one-another through their source electrodes being self-aligned to their respective gate electrodes, a local tungsten interconnect making a substantially continuous connection to the sources.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Kelly T. Hurley
  • Patent number: 7091088
    Abstract: A method of protecting a charge trapping dielectric flash memory cell from UV-induced charging, including fabricating a charge trapping dielectric flash memory cell in a semiconductor device; depositing over the charge trapping dielectric flash memory cell at least one UV-protective layer; forming at least one layer over the at least one UV-protective layer; and etching the at least one layer to form an opening therein with an etchant species selective to stop on a layer below the at least one UV-protective layer, wherein the UV-protective layer comprises a substantially UV-opaque material.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: August 15, 2006
    Assignee: Spansion LLC
    Inventors: Ning Cheng, Clarence B. Ferguson, Emmanuil H. Lingunis, Minh Van Ngo, Joerg Reiss, Jean Y. Yang, Jeffrey A. Shields, Cyrus Tabery
  • Patent number: 7087532
    Abstract: A process for forming sublithographic structures such as fins employs a hardmask protective layer above a hardmask to absorb damage during a dry etching step, thereby preserving symmetry in the hardmask and eliminating a source of defects.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: David M Dobuzinsky, Jochen C. Beintner, Siddhartha Panda
  • Patent number: 7078339
    Abstract: The present invention is provided to form a metal line layer in a semiconductor device, wherein at least one conductive layer of a plurality of conductive layers is etched, a side wall oxide film is formed on side walls of some conductive layers of the etched conductive layers, and then the other conductive layers are etched. According to the present invention, since it is possible to prevent attacks against the side walls, which may occur due to sputtering and bending of plasma ions, it is possible to enhance yield and reliability of a semiconductor device.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: July 18, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Joon Hyeon Lee
  • Patent number: 7074724
    Abstract: A method of anisotropiocally etching a semiconductive substrate uses a hydrofluorocarbon etch gas with an etch selectivity fluorocarbon gas. The fluorocarbon gas is used under conditions that enhance selectivity of the etch to an etch stop layer with respect to a bulk dielectric material such as doped or undoped silicon dioxide. In one method, a silicon dioxide dielectric layer is provided upon an etch stop layer, wherein the etch stop layer comprises silicon dioxide that is doped differently from the silicon dioxide dielectric layer. A gaseous etchant including a hydrofluorocarbon etch gas and a fluorocarbon selectivity compound is provided, and the silicon dioxide dielectric layer is exposed to the gaseous-etchant.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: July 11, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kevin G. Donohoe, David S. Becker
  • Patent number: 7075137
    Abstract: In a charge trapping memory architecture for virtual ground with interconnects (6) that are present parallel to the word lines (2) and STI isolations (1) that are present parallel to the bit lines (4), provision is made of STI isolations (7) that are widened for division into slices. Instead of this, the interconnects present below a bit line may be omitted or two mutually adjacent bit lines (41, 42) may be wired up in such a way that the memory transistors present between them operate only in the dummy mode.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: July 11, 2006
    Assignees: Infineon Technologies AG, Infineon Technologies Flash GmbH & Co. KG
    Inventors: Josef Willer, Christoph Ludwig, Joachim Deppe
  • Patent number: 7074725
    Abstract: An improved method of manufacturing a capacitor on a semiconductor substrate is disclosed. A portion of an insulation film on a semiconductor substrate is etched to form a first opening in the insulation film. A passivation film is formed on the insulation film and within the first opening thereof. A portion of the passivation film on a bottom of the first opening is thinner than portions of the passivation film on the insulation film and on a sidewall of the first opening. The passivation film is etched to expose the bottom of the first opening.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: July 11, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Sik Hong, Young-Ki Hong, Tae-Hyuk Ahn, Jong-Seo Hong
  • Patent number: 7067435
    Abstract: The present invention provides a method for etching a substrate 100. The method includes conducting a first etch through a dielectric layer 130 located over an etch-stop layer 140, the dielectric layer having a photoresist layer 170 located thereover and the first etch being selective to the etch-stop layer 140. A second etch different from the first etch is conducted on the etch-stop layer 120, the second etch including nitrogen and at least one fluorocarbon gas, such that the ratio of nitrogen to carbon in the etchant is greater than about 5:1.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: June 27, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: William W. Dostalik
  • Patent number: 7067344
    Abstract: A method of manufacturing an external force detection sensor in which a sensor element is formed by through-hole dry etching of an element substrate, and an electrically conductive material is used as an etching stop layer during the dry etching.
    Type: Grant
    Filed: April 13, 2000
    Date of Patent: June 27, 2006
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Takahiro Oguchi
  • Patent number: 7049164
    Abstract: The current invention provides for encapsulated release structures, intermediates thereof and methods for their fabrication. The multi-layer structure has a capping layer, that preferably comprises silicon oxide and/or silicon nitride, and which is formed over an etch resistant substrate. A patterned device layer, preferably comprising silicon nitride, is embedded in a sacrificial material, preferably comprising polysilicon, and is disposed between the etch resistant substrate and the capping layer. Access trenches or holes are formed in to capping layer and the sacrificial material are selectively etched through the access trenches, such that portions of the device layer are release from sacrificial material. The etchant preferably comprises a noble gas fluoride NGF2x (wherein Ng=Xe, Kr or Ar: and where x=1, 2 or 3). After etching that sacrificial material, the access trenches are sealed to encapsulate released portions the device layer between the etch resistant substrate and the capping layer.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: May 23, 2006
    Assignee: Silicon Light Machines Corporation
    Inventor: Mike Bruner
  • Patent number: 7049244
    Abstract: A process for controlling the plasma etch of a silicon dioxide layer at a high etch rate and high selectivity with respect to silicon nitride, particularly in a multilayer structure, by (1) maintaining various portions of the etch chamber at elevated temperatures, and/ox (2) using an etch chemistry having a fluorohydrocarbon gas containing at least as many hydrogen atoms as fluorine atoms, preferably CH2F2 or CH3F.
    Type: Grant
    Filed: August 6, 2001
    Date of Patent: May 23, 2006
    Assignee: Micron Technology, Inc.
    Inventors: David S. Becker, Guy T. Blalock, Fred L. Roe
  • Patent number: 7049165
    Abstract: A method of manufacturing an external force detection sensor in which a sensor element is formed by through-hole dry etching of an element substrate, and an electrically conductive material is used as an etching stop layer during the dry etching.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: May 23, 2006
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Takahiro Oguchi
  • Patent number: 7041230
    Abstract: A semiconductor chip formed on a substrate is provided. An oxygen-doped silicon carbide etch stop layer is formed over the substrate. An organosilicate glass layer is formed over the oxygen-doped silicon carbide etch stop layer. A feature is selectively etched in the organosilicate glass layer using an etch with an organosilicate glass to oxygen-doped silicon carbide selectivity greater than 5:1.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: May 9, 2006
    Assignee: Lam Research Corporation
    Inventors: Xingcai Su, Bi Ming Yen, Peter Loewenhardt
  • Patent number: 7030029
    Abstract: A method for SAC etching is provided involving a) etching a Si wafer having a nitride present thereon with a first etching gas containing a first perfluorocarbon and carbon monoxide, and b) etching the resultant Si wafer having an initially etched nitride photoresist thereon with a second etching gas containing a second perfluorocarbon in the substantial absence of carbon monoxide, wherein the etching steps a) and b) are performed at high RF power and low pressure compared to conventional processes to provide higher selectivity etching and a larger process window for SAC etching, as well as the ability to perform SAC etching and island contact etching under the same conditions with high verticality of the island contact and SAC walls.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: April 18, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Kazuo Tsuchiya
  • Patent number: 7015135
    Abstract: A method and system for providing at least one contact in a semiconductor device. The semiconductor device includes a substrate, an etch stop layer, an interlayer dielectric on the etch stop layer, an anti-reflective coating layer on the interlayer dielectric, and at least one feature below the etch stop layer. A resist mask having an aperture and residing on the anti-reflective coating layer is provided. The aperture is above an exposed portion of the anti-reflective coating layer. The method and system include etching the exposed anti-reflective coating layer and the underlying interlayer dielectric without etching through the etch stop layer, thereby providing a portion of at least one contact hole. The method and system also include removing the resist mask in situ, removing a portion of the etch stop layer exposed in the portion of the contact hole, and filling the contact hole with a conductive material.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: March 21, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Angela T. Hui, Wenmei Li, Amy C. Tu
  • Patent number: 7008875
    Abstract: A CMP station can be closed loop controlled by using data obtained by an inline metrology station from a first polished wafer to affect the processing of subsequent polished wafers. The first wafer is polished and measured by the inline metrology station. The metrology station measures at various points the array dielectric thickness, field dielectric thickness, barrier residue thickness and metal residue thickness. The data is then inputted into an algorithm and polishing parameter outputs are calculated. The outputs are sent to the CMP station and used to supplement or replace the previous polishing parameters. Subsequent wafers are polished on the CMP station using the revised polishing parameters.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: March 7, 2006
    Assignee: Applied Materials Inc.
    Inventors: Manoocher Birang, Konstantin Y. Smekalin, David A. Chan