Utilizing Etch Stop Layer Patents (Class 438/740)
  • Patent number: 7696094
    Abstract: A method for forming a semiconductor device may include forming a silicon oxynitride mask layer over a first layer. The first layer may be etched using the silicon oxynitride mask layer, to form a pattern in the first layer. The pattern may be filled with a dielectric material. The dielectric material may be planarized using a ceria-based slurry and using the silicon oxynitride mask layer as a stop layer.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: April 13, 2010
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: David Matsumoto, Michael Brennan, Vidyut Gopal, Jean Yang
  • Patent number: 7691704
    Abstract: A method for manufacturing a semiconductor device having a damascene metal/insulator/metal (MIM)-type capacitor and metal lines including providing a semiconductor device; sequentially forming a first interlayer insulating film and a second interlayer insulating film over the semiconductor substrate; simultaneously forming a vias hole and a lower metal line in a line region and a lower electrode in a capacitor region, wherein the lower metal line and the lower electrode are electrically connected to the semiconductor device; sequentially forming a dielectric film, a third interlayer insulating film, a fourth interlayer insulating film and a fifth interlayer insulating film over the semiconductor substrate; and then simultaneously forming a plurality of upper electrodes, a plurality of second vias holes and a plurality of second upper metal lines in the capacitor region electrically connected to the plurality of upper electrodes, a plurality of third vias holes and a plurality of second upper metal lines in th
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: April 6, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seon-Heui Kim
  • Patent number: 7691754
    Abstract: A method for removing a photoresist layer is provided. The method is suitable for a dielectric layer, wherein the dielectric layer has a patterned photoresist layer formed thereon and a metal silicide layer disposed thereunder and there is an etching stop layer disposed between the dielectric layer and the metal silicide layer. The method comprises steps of removing a portion of the dielectric layer by using the patterned photoresist layer as a mask so as to form an opening, wherein the opening exposes a portion of the etching stop layer above the metal silicide layer. the patterned photoresist layer is removed by using an oxygen-free plasma.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: April 6, 2010
    Assignee: United Microelectronics Corp.
    Inventor: An-Chi Liu
  • Patent number: 7691753
    Abstract: A deposition/etching/deposition process is provided for filling a gap in a surface of a substrate. A liner is formed over the substrate so that distinctive reaction products are formed when it is exposed to a chemical etchant. The detection of such reaction products thus indicates that the portion of the film deposited during the first etching has been removed to an extent that further exposure to the etchant may remove the liner and expose underlying structures. Accordingly, the etching is stopped upon detection of distinctive reaction products and the next deposition in the deposition/etching/deposition process is begun.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: April 6, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lin Zhang, Xiaolin Chen, DongQing Li, Thanh N. Pham, Farhad K. Moghadam, Zhuang Li, Padmanabhan Krishnaraj
  • Patent number: 7682984
    Abstract: A photomask etch chamber, which includes a substrate support member disposed inside the chamber. The substrate support member is configured to support a photomask substrate. The chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Khiem K. Nguyen, Peter Satitpunwaycha, Alfred W. Mak
  • Patent number: 7683415
    Abstract: A semiconductor device and a method for fabricating the same are provided. The method includes: forming a contact plug passing through an inter-layer insulation layer; sequentially forming a lower electrode layer, a dielectric layer and an upper electrode layer on the inter-layer insulation layer; patterning the upper electrode layer; patterning the dielectric layer and the lower electrode layer, thereby obtaining a capacitor including an upper electrode, a patterned dielectric layer and a lower electrode; and sequentially forming a first metal interconnection line connected with the contact plug and second metal interconnection lines connected with the capacitor.
    Type: Grant
    Filed: December 12, 2005
    Date of Patent: March 23, 2010
    Assignee: Magnachip Semiconductor, Ltd.
    Inventor: Jin-Youn Cho
  • Patent number: 7659211
    Abstract: The present technique relates to a method and apparatus to provide a dielectric etch stop layer that prevents shorts for a buried digit layer as an interconnect. In a memory device, such as DRAM or SRAM, various layers are deposited to form structures, such as PMOS gates, NMOS gates, memory cells, P+ active areas, and N+ active areas. These structures are fabricated through the use of multiple masking processes, which may cause shorts when a buried digit layer is deposited if the masking processes are misaligned. Accordingly, a dielectric etch stop layer, such as aluminum oxide Al2O3 or silicon carbide SiC, may be utilized in the array to prevent shorts between the wordlines, active areas, and the buried digit layer when the contacts are misaligned.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: February 9, 2010
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 7655573
    Abstract: A method of forming a mask pattern and, more particularly, a method of forming a mask pattern wherein micro patterns having resolutions lower than those of exposure equipment by overcoming the resolutions of the exposure equipment, wherein, a silicon layer is formed over a substrate and is patterned. The patterned silicon layer is oxidized to form the entire surface of the silicon layer to a specific thickness by using an oxide layer. The oxide layer is removed to expose a top surface of the silicon layer. A mask pattern is formed with the remaining oxide layer by removing the silicon layer.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Hoon Lee
  • Patent number: 7655562
    Abstract: A method of manufacturing a semiconductor device is disclosed. In the method of manufacturing the semiconductor device, a first insulating layer is formed on a semiconductor substrate. A metal line layer and an etch-stop layer are formed over the first insulating layer. The etch-stop layer and the metal line layer are patterned to form a metal line. A second insulating layer is formed on the first insulating layer and the etch-stop layer. A first etch process for etching part of the second insulating layer is performed by using a first etch gas so that the etch-stop layer is exposed. A second etch process for removing the etch-stop layer is performed by using a second etch gas so that the metal line is exposed.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Chul Gil
  • Patent number: 7642189
    Abstract: A method of forming an integrated circuit structure, the method includes providing a semiconductor substrate; forming a dielectric layer over the semiconductor substrate; forming an opening in the dielectric layer; forming a seed layer in the opening; forming a copper line on the seed layer, wherein at least one of the seed layer and the copper line includes an alloying material; and forming an etch stop layer on the copper line.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: January 5, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 7635650
    Abstract: A method of fabricating a semiconductor device begins by forming a lower interconnection dielectric on a substrate and forming at least one active or passive device in the lower interconnection dielectric. An etch stop layer is formed on the lower interconnection dielectric and an interconnect stack layer is formed on the etch stop layer. At least one interconnect trench structure and at least one crack stop trench are etched in the interconnect stack layer while maintaining electrical isolation between the interconnect structure and the crack stop trench.
    Type: Grant
    Filed: April 14, 2006
    Date of Patent: December 22, 2009
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Koji Miyata
  • Patent number: 7629218
    Abstract: Example embodiments relate to a method of manufacturing a capacitor and a method of manufacturing a semiconductor device using the same. Other example embodiments relate to a method of manufacturing a capacitor having improved characteristics and a method of manufacturing a semiconductor device using the same. In a method of manufacturing a capacitor having improved characteristics, an insulation layer, including a pad therein, may be formed on a substrate. An etch stop layer may be formed on the insulation layer. A mold layer may be formed on the etch stop layer. The mold layer may be partially etched by a first etching process to form a first contact hole exposing the etch stop layer. The mold layer may be partially etched by a second etching process to form a second contact hole. The exposed etch stop layer may be etched by a third etching process to form a third contact hole exposing the pad.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: December 8, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Sung Lee, Man-Sug Kang, Tae-Han Kim, Keum-Joo Lee
  • Patent number: 7629262
    Abstract: In an embodiment, a method of forming a lower electrode of a capacitor in a semiconductor memory device includes etching a mold oxide layer to have at a cylindrical structure, resulting in an electrode with increased surface area. The cylindrical structure may have more than one radius. This increased surface area results in an increased capacitance. An excessive etch phenomenon, which occurs because a sacrificial oxide layer is etched at a higher rate than the mold oxide layer, is avoided.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: December 8, 2009
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Jung-Wook Kim, Young-Joo Cho
  • Patent number: 7618894
    Abstract: Multi-step selective etching. Etching an unmasked region associated with each layer of a plurality of layers, the plurality of layers comprising a stack, wherein the unmasked region of each of the plurality of layers is etched while exposed to a temperature, a pressure, a vacuum, using a plurality of etchants, wherein at least one of the plurality of etchants comprises an inert gas and oxygen, wherein the etchant oxidizes the at least one layer that can be oxidized such that the etching stops, the plurality of etchants leaving substantially unaffected a masked region associated with each layer of the plurality of layers, wherein two or more of the plurality of layers comprises a memory stack, and preventing corrosion of at least one of the plurality of layers comprising a conductive metal oxide by supplying oxygen to the stack after etching the unmasked region without breaking the vacuum.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: November 17, 2009
    Inventors: Jonathan Bornstein, Travis Byonghyop
  • Patent number: 7615493
    Abstract: A method for forming an alignment mark comprises forming an etch stop film and an interlayer insulating film over a semiconductor substrate including a cell region and a scribe region, etching a predetermined region of the interlayer insulating film and the etch stop film to form a storage node region in the cell region and an alignment mark region in the scribe region, forming a layer for storage node over an entire surface of the resultant including the storage node region in the cell region and the alignment mark region in the scribe region, etching the layer for storage node until the interlayer insulting film is exposed, and removing the interlayer insulating film to form a capacitor in the cell region and an alignment mark in the scribe region.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: November 10, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seok Kyun Kim
  • Patent number: 7615496
    Abstract: A self-align patterning method for forming patterns includes forming a first layer on a substrate, forming a plurality of first hard mask patterns on the first layer, forming a sacrificial layer on top surfaces and sidewalls of the first hard mask patterns, thereby forming a gap between respective facing portions of the sacrificial layer on the sidewalls of the first hard mask patterns, forming a second hard mask pattern in the gap, etching the sacrificial layer using the second hard mask pattern as a mask to expose the first hard mask patterns, exposing the first layer using the exposed first hard mask patterns and the second hard mask pattern, and etching the exposed first layer using the first and second hard mask patterns.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: November 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Dae-hyun Jang
  • Patent number: 7608546
    Abstract: A method for fabricating a semiconductor device includes forming an etch target layer over a substrate that includes a cell region and a peripheral region. A first hard mask layer, a second hard mask layer, and an anti-reflective coating layer are formed over the etch target layer. A photosensitive pattern is formed over the anti-reflective coating layer. The anti-reflective coating layer is etched to have a width smaller than the width of the photosensitive pattern. The second hard mask layer is etched. A main etching and an over-etching are performed on the first hard mask layer. The etch target layer is then etched.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: October 27, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Soo Park, Chang-Heon Park, Dong-Ryeol Lee
  • Patent number: 7602032
    Abstract: A memory and method of making a memory is disclosed. In one embodiment, the memory includes a cap structure for a magnetoresistive random access memory device including an etch stop layer formed over an upper magnetic layer of a magnetoresistive junction (MTJ/MCJ) layered structure and a hardmask layer formed over said etch stop layer, wherein said etch stop layer is selected from a material such that an etch chemistry used for removing said hardmask layer has selectivity against etching said etch stop layer material. In a method of opening the hardmask layer, an etch process to remove exposed portions of the hardmask layer is implemented, where the etch process terminates on the etch stop layer.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: October 13, 2009
    Assignees: Altis Semiconductor SNC, Infineone Technologies AG
    Inventors: Ulrich Klostermann, Chanro Park, Wolfgang Raberg
  • Patent number: 7598180
    Abstract: A method for removing defects due to edge chips of a semiconductor wafer is disclosed. This method includes forming a molding layer over a semiconductor wafer. The molding layer is patterned to form a plurality of storage node holes, where the plurality of storage node holes include at least one first storage node hole formed on an effective chip area and at least one second storage node hole formed on an edge chip area. First storage nodes and second storage nodes are formed in the first and second storage node holes, respectively. A photoresist pattern is formed on the wafer having the storage nodes. The photoresist pattern is preferably formed to expose the effective chip areas and to cover the edge chip areas. The molding layer is etched, using the photoresist pattern as an etching mask, to expose portions of the first storage nodes.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: October 6, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Hun Park, Hee-Sun Chae, Kyoung-Shin Park
  • Patent number: 7576011
    Abstract: A method of forming a contact plug in a semiconductor device includes the steps of forming a plurality of select lines and a plurality of word lines on a semiconductor substrate; forming a first etching stop layer on the select lines and the word lines; forming a second etching stop layer on the first etching stop layer; forming an insulating layer on the second etching stop layer; removing the insulating layer placed between the select lines, the second etching stop layer and the first etching stop layer to form a contact hole through which a portion of the semiconductor substrate is exposed; and filling the contact hole with conductive material to form a contact plug, and so the nitride layer is thinly formed and the high dielectric layer is then formed to form the etching stop layer. Due to the above, a layer stress caused by the nitride layer can be minimized, and it is possible to resolve a problem of exposing the semiconductor substrate caused by a damage of the etching stop layer.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: August 18, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Patent number: 7572738
    Abstract: A method is provided for fabricating a semiconductor device. The method begins by forming on a substrate an interconnect stack layer that includes a plurality of layers with interconnecting metal overlying the substrate. After forming the interconnect stack layer, a crack stop trench is formed in the interconnect stack layer. Finally, the crack stop trench is filled with a prescribed material.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: August 11, 2009
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Takeshi Nogami
  • Patent number: 7572727
    Abstract: The present invention is a semiconductor contact formation system and method. Contact insulation regions are formed with multiple etch stop sublayers that facilitate formation of contacts. This contact formation process provides relatively small substrate connections while addressing critical lithographic printing limitation concerns in forming contact holes with small dimensions. In one embodiment, a multiple etch stop insulation layer comprising multiple etch stop layers is deposited. A contact region is formed in the multiple etch stop insulation layer by selectively removing (e.g., etching) some of the multiple etch stop insulation layer. In one embodiment, a larger portion of the multiple etch stop insulation layer is removed close to the metal layer and a smaller portion is removed closer to the substrate.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: August 11, 2009
    Assignee: Spansion LLC
    Inventors: Wenmei Li, Angela T. Hui, Dawn Hopper, Kouros Ghandehari
  • Patent number: 7569913
    Abstract: A method for forming an etch-stop layer and a resulting structure fabricated therefrom. The etch-stop layer has a semiconductor layer having a first surface and a boron layer formed below the first surface of the semiconductor layer. The boron layer has a full-width half-maximum (FWHM) thickness value of less than 100 nanometers. The boron layer is formed by a chemical vapor deposition (CVD) system.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: August 4, 2009
    Assignee: Atmel Corporation
    Inventor: Darwin G. Enicks
  • Patent number: 7560385
    Abstract: A method and system for etching a substrate control selectivity of the etch process by modulating the gas specie of the reactants. The gas specie selectively form and etch a buffer layer that protects underlying etch stop materials thereby providing highly selective etch processes.
    Type: Grant
    Filed: October 3, 2002
    Date of Patent: July 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Francis G. Celii, Ping Jiang
  • Patent number: 7560360
    Abstract: Methods for enhancing trench capacitance and a trench capacitor so formed are disclosed. In one embodiment a method includes forming a first portion of a trench; depositing a dielectric layer in the first portion; performing a reactive ion etching including a first stage to etch the dielectric layer and form a micro-mask on a bottom surface of the first portion of the trench and a second stage to form a second portion of the trench having a rough sidewall; depositing a node dielectric; and filling the trench with a conductor. The rough sidewall enhances trench capacitance without increasing processing complexity or cost.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, David M. Dobuzinsky, Xi Li
  • Patent number: 7557046
    Abstract: Systems and methods for single lithography step interconnection metallization using a stop-etch layer are described. A method comprises depositing a stop-etch layer over a semiconductor device, depositing an interconnect metallization material over the stop-etch layer, performing a single lithography step to pattern a mask over the interconnect metallization material, etching the interconnect metallization material in non-masked areas, and removing the stop-etch layer. A system comprises means for depositing the stop-etch layer over a wafer, means for depositing an interconnected metallization layer over the chrome layer, means for patterning a mask over the interconnect metallization layer, means for etching the interconnect metallization layer, where the etching stops at the stop-etch layer, and means for removing the stop-etch layer.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: July 7, 2009
    Assignee: Northrop Grumman Systems Corporation
    Inventor: John V. Veliadis
  • Patent number: 7547641
    Abstract: The present invention provides semiconductor structures comprised of stressed channels on hybrid oriented. In particular, the semiconductor structures include a first active area having a first stressed semiconductor surface layer of a first crystallographic orientation located on a surface of a buried insulating material and a second active area having a second stressed semiconductor surface layer of a second crystallographic orientation located on a surface of a dielectric material. A trench isolation region is located between the first and second active area, and the trench isolation region is partially filled with a trench dielectric material and the dielectric material that is present underneath said second stressed semiconductor surface layer.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: June 16, 2009
    Assignee: International Business Machines Corporation
    Inventors: Meikei Ieong, Qiqing C. Ouyang
  • Patent number: 7541232
    Abstract: A method for fabricating devices in a multi-layer structure adapted for the formation of enhancement mode high electron mobility transistors, depletion mode high electron mobility transistors, and power high electron mobility transistors includes defining gate recesses in the structure. The structure has, on a substrate, a channel layer, spacer layer on the channel layer, a first Schottky layer, a second Schottky layer on the first Schottky layer, and a third Schottky layer on the second Schottky layer, and a contact layer on the third Schottky layer. Etch stops are defined intermediate the first and second Schottky layers, intermediate the second and third Schottky layers, and intermediate the third Schottky layer and the contact layer.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: June 2, 2009
    Assignee: Lockheed Martin Corporation
    Inventors: Kevin L. Robinson, Larry Witkowski, Ming-Yih Kao
  • Patent number: 7534668
    Abstract: The buried oxide region has a layer added which etches selectively with respect to oxide, allowing the contacts to a gate or to a back gate to be created without overetching into the buried oxide region.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: May 19, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Publication number: 20090124089
    Abstract: A method for etching a layer assembly, the layer assembly including an intermediate layer sandwiched between an etch layer and a stop layer, the method including a step of etching the etch layer using a first etchant and a step of etching the intermediate layer using a second etchant. The first etchant includes a first etch selectivity of at least 5:1 with respect to the etch layer and the intermediate layer. The second etchant includes a second etch selectivity of at least 5:1 with respect to the intermediate layer and the stop layer. The first etchant being different from the second etchant.
    Type: Application
    Filed: November 9, 2007
    Publication date: May 14, 2009
    Inventor: Lothar Brencher
  • Patent number: 7528076
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: May 5, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Patent number: 7524752
    Abstract: In a method of manufacturing a semiconductor device which method is made up of a process of forming a wiring groove using a hard mask, a metal hard mask 107 is used to form a wiring groove 111, allowing the shape of the wiring groove 111 to be stabilized. Furthermore, a part or all of the metal hard mask 107 is removed before the formation of TaN and Cu layers in the wiring groove 111. This enables a reduction in possible damage, which may increase the dielectric constant of the surface of low-dielectric-constant film, and thus in possible inter-wire leakage current. As a result, a reliable semiconductor device can be provided.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: April 28, 2009
    Assignee: Panasonic Corporation
    Inventor: Makoto Tsutsue
  • Patent number: 7524430
    Abstract: Methods of forming a fluid channel in a semiconductor substrate may include applying a material layer to at least one surface of the semiconductor substrate. The method may further include manipulating the material layer to form a surface topography corresponding to a channel, the surface topography being configured to control directionality of ion bombardment of said substrate along electromagnetic field lines in a plasma sheath coupled to said surface topography.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 28, 2009
    Assignee: Lexmark International, Inc.
    Inventors: John W. Krawczyk, Andrew L. McNees
  • Patent number: 7517710
    Abstract: A method of manufacturing a field emission device (FED), which reduces the number of photomask patterning processes and improves the manufacturing yield of the FED, is provided.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jun-Hee Choi, Ho-Suk Kang, Chan-Wook Baik, Ha-Jong Kim
  • Publication number: 20090061641
    Abstract: In a method of forming micro patterns, an etch target layer, a hard mask layer, a silicon-containing bottom anti-reflective coating (BARC) layer, and first auxiliary patterns are formed over a semiconductor substrate. The silicon-containing BARC layer is etched to form silicon-containing BARC patterns. Insulating layers are formed on a surface of the silicon-containing BARC patterns and the first auxiliary patterns. A second auxiliary layer is formed on the hard mask layer and the insulating layers. An etch process is performed such that the second auxiliary layer remains on the hard mask layer between the silicon-containing BARC patterns thereby forming second auxiliary patterns. The insulating layers on the first auxiliary patterns and between the silicon-containing BARC patterns and the second auxiliary patterns are removed. The hard mask layer is etched thereby forming hard mask patterns. The etch target layer is etched using the hard mask patterns as an etch mask.
    Type: Application
    Filed: June 27, 2008
    Publication date: March 5, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Yung JUNG
  • Patent number: 7479458
    Abstract: A method for etching a barrier material on a semiconductor substrate is disclosed. The method includes placing the substrate in a plasma processing chamber of the plasma processing system, wherein the substrate includes the barrier material and a low-k material, and wherein the barrier material and a low-k material are configured to be exposed to a plasma. The method also includes flowing an etchant gas mixture, including CH3F from about 4% to about 8% of a plasma gas flow, into the plasma processing chamber, wherein the etchant gas mixture is configured to etch the barrier material at a first etch rate, the etchant gas mixture is configured to etch the low-k material at a second etch rate, wherein the first etch rate is substantially greater than the second etch rate. The method further includes striking a plasma from the etchant source gas; and etching the barrier layer and the low-k layer.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: January 20, 2009
    Assignee: Lam Research Corporation
    Inventors: Guang-Yaw Hwang, Thomas Nguyen, Wen-Ben Chou, Timothy Tran, Yu-Wei Yang
  • Patent number: 7470611
    Abstract: The present invention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, and etch stops, and ARCs. The dielectric layer can be deposited with different precursors as the SiC material, but preferably with the same or similar precursors as the SiC material. The present invention is particularly useful for ICs using high diffusion copper as a conductive material. The invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features.
    Type: Grant
    Filed: December 12, 2005
    Date of Patent: December 30, 2008
    Assignee: Applied Materials, Inc.
    Inventor: Judy H. Huang
  • Patent number: 7442651
    Abstract: An etching technique capable of applying etching at high selectivity to a transition metal element-containing electrode material layer which is formed on or above a dielectric material layer made of a high-dielectric-constant or “high-k” insulator is provided. To this end, place a workpiece on a lower electrode located within a vacuum processing vessel. The workpiece has a multilayer structure of an electrode material layer which contains therein a transition metal element and a dielectric material layer made of high-k insulator. Then, while introducing a processing gas into the vacuum processing vessel, high-frequency power is applied to inside of the vacuum processing vessel, thereby performing plasma conversion of the introduced processing gas so that the workpiece is etched at its surface. When etching the electrode material layer, an HCl gas is supplied as the processing gas.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 28, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahito Mori, Toshiaki Nishida, Naoshi Itabashi, Motohiko Yoshigai, Hideyuki Kazumi, Kazutami Tago
  • Patent number: 7429534
    Abstract: An improved solution for producing nitride-based heterostructure(s), heterostructure device(s), integrated circuit(s) and/or Micro-Electro-Mechanical System(s) is provided. A nitride-based etch stop layer that includes Indium (In) is included in a heterostructure. An adjacent layer of the heterostructure is selectively etched to expose at least a portion of the etch stop layer. The etch stop layer also can be selectively etched. In one embodiment, the adjacent layer can be etched using reactive ion etching (RIE) and the etch stop layer is selectively etched using a wet chemical etch. In any event, the selectively etched area can be used to generate a contact or the like for a device.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: September 30, 2008
    Assignee: Sensor Electronic Technology, Inc.
    Inventors: Remigijus Gaska, Xuhong Hu, Qhalid Fareed, Michael Shur
  • Patent number: 7425512
    Abstract: The present invention provides a method for etching a substrate, a method for forming an integrated circuit, an integrated circuit formed using the method, and an integrated circuit. The method for etching a substrate includes, among other steps, providing a substrate 140 having an aluminum oxide etch stop layer 130 located thereunder, and then etching an opening 150, 155, in the substrate 140 using an etchant comprising carbon oxide, a fluorocarbon, an etch rate modulator, and an inert carrier gas, wherein a flow rate of the carbon oxide is greater than about 80 sccm and the etchant is selective to the aluminum oxide etch stop layer 130. The aluminum oxide etch stop layer may also be used in the back-end of advanced CMOS processes as a via etch stop layer.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: September 16, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Kezhakkedath R. Udayakumar, Ted S. Moise, Scott R. Summerfelt, Martin G. Albrecht, William W. Dostalik, Jr., Francis G. Celii
  • Patent number: 7422985
    Abstract: A substantially planar surface coexposes conductive or semiconductor features and a dielectric etch stop material. In a preferred embodiment, the conductive or semiconductor features are pillars forming vertically oriented diodes. A second dielectric material, different from the dielectric etch stop material, is deposited on the substantially planar surface. A selective etch etches a hole or trench in the second dielectric material, so that the etch stops on the conductive or semiconductor feature and the dielectric etch stop material. In a preferred embodiment the substantially planar surface is formed by filling gaps between the conductive or semiconductor features with a first dielectric such as oxide, recessing the oxide, filling with a second dielectric such as nitride, then planarizing to coexpose the nitride and the conductive or semiconductor features.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: September 9, 2008
    Assignee: SanDisk 3D LLC
    Inventors: Samuel V Dunton, Christopher J Petti, Usha Raghuram
  • Patent number: 7422954
    Abstract: A capacitor structure is described, including a substrate, a first metal layer in the substrate, an etching stop layer on the substrate having therein an opening that exposes a portion of the first metal layer, a connection layer on the portion of the first metal layer, the sidewall of the opening and a portion of the etching stop layer, a second metal layer over the connection layer, and an insulating layer between the second metal layer and the connection layer.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: September 9, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Mingshang Tsai
  • Patent number: 7393714
    Abstract: A method of manufacturing an external force detection sensor in which a sensor element is formed by through-hole dry etching of an element substrate, and an electrically conductive material is used as an etching stop layer during the dry etching.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: July 1, 2008
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Takahiro Oguchi
  • Publication number: 20080138991
    Abstract: A multilayer insulating structure including a first stop layer, a first insulating layer and a second stop layer is formed on the first conductive structure. A second conductive structure and a second insulating layer are formed on the first conductive structure. The second insulating layer and the second conductive structure are etched to form a first hole and a second hole having a first radius. A spacer is formed on sidewalls of the first and second holes. The second stop layer and the first insulating layer are etched using the spacer as an etch mask to form a third hole having a second radius smaller than the first radius. A sacrificial filler is formed on the first stop layer to fill the third hole. After removing the spacer, the sacrificial filler is removed. The first stop layer is etched. A carbon nano-tube is grown from the first conductive structure.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 12, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hong Cho, Seung-Pil Chung, Hong-Sik Yoon, Kyung-Rae Byun
  • Publication number: 20080099882
    Abstract: A method for forming an etch-stop layer and a resulting structure fabricated therefrom. The etch-stop layer is a silicon-germanium layer having a ratio of silicon to germanium of about 50:1 or less, a boron layer formed within the silicon-germanium layer where the boron layer has a full-width half-maximum (FWHM) thickness value of less than 50 nanometers, and a carbon layer formed within the silicon-germanium layer where the carbon layer has an FWHM thickness value of less than 50 nanometers. A ratio of boron to carbon in the etch-stop layer is in a range of about 0.5 to 1.5.
    Type: Application
    Filed: October 26, 2006
    Publication date: May 1, 2008
    Applicant: ATMEL CORPORATION
    Inventor: Darwin G. Enicks
  • Patent number: 7365009
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: April 29, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7348231
    Abstract: Methods of fabricating semiconductor devices are provided. An NMOS transistor and a PMOS transistor are provided on a substrate. The NMOS transistor is positioned on an NMOS region of the substrate and the PMOS transistor is positioned on a PMOS region of the substrate. A first insulating layer is provided on the NMOS transistor. The first insulating layer has a first compressive stress. A second insulating layer is provided on the PMOS transistor. The second insulating layer has a second compressive stress and a stress relief ratio higher than a stress relief ratio of the first insulating layer. A thermal treatment process is performed on the first insulating layer and the second insulating layer such that the second compressive stress of the second insulating layer is lower than the first compressive stress of the first insulating layer. Related devices are also provided.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Shin Kwon, Dong-Won Lee, Jun-Beom Park
  • Patent number: 7344995
    Abstract: The present invention discloses a method for preparing a structure with high aspect ratio, which can be a trench or a conductor. A first mask is formed on a substrate, and a first etching process is performed to remove the substrate uncovered by the first mask to form at least one concavity. A second mask is formed on the surface of the prepared structure, a second etching process is then performed to remove the second mask on the concavity, and a third etching process is performed subsequently to extend the depth of the concavity into the substrate. To prepare a conductor with high aspect ratio in the substrate, the first mask and the second mask are preferably made of dielectric material or metal. In addition, to prepare a trench with high aspect ratio in a silicon substrate, the first mask and the second mask are preferably made of dielectric material.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: March 18, 2008
    Assignee: Promos Technologies, Inc.
    Inventors: Hung Yueh Lu, Hong Long Chang, Yung Kai Lee, Chih Hao Chang
  • Publication number: 20080054386
    Abstract: A recessed color filter array using patterned metal as an etch stop and a method of forming the same. In one embodiment, at least one metal etch stop is formed in a semiconductor dielectric layer at the same time as the formation of one or more layers of metal interconnect elements, thereby reducing the number of necessary process steps and reducing costs. The etch stop may be formed at any layer where other metal elements are present.
    Type: Application
    Filed: August 31, 2006
    Publication date: March 6, 2008
    Inventor: Salman Akram
  • Patent number: RE41205
    Abstract: The present invention relates to a method of fabricating a semiconductor device which reduces The leakage current by controlling an etch of a field oxide layer when a contact hole is formed. The present invention includes the steps of forming a in a semiconductor device is reduced. A field oxide layer defining an active area and a field area is formed on a semiconductor substrateof a first conductive type, forming a . A gate is formed on the an active area of the semiconductor substrate. by inserting a gate insulating layer between the semiconductor substrate and the gate, forming impurity regions of a second conductive type in the semiconductor are formed on the substrate in use of using the gate as a mask, forming a . A first insulating interlayer layer is formed on the semiconductor substrate by depositing an insulator of which having the heat expansion coefficient and lattice mismatch that are less than those of the semiconductor substrateto cover the field oxide layer and the gate, forming a .
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: April 6, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae-Yeong Kim