Silicon Nitride Formation Patents (Class 438/791)
  • Patent number: 7491652
    Abstract: A process for manufacturing semiconductor devices in an in-line processing includes the steps of: forming a silicon nitride film on a semiconductor wafer by nitrization in a reactor chamber having an inner pressure at a specific pressure; reducing the inner pressure from the specific pressure; raising the inner pressure up to the specific pressure; replacing the semiconductor wafer with another semiconductor wafer; and forming a nitride film on the another semiconductor wafer at the specific pressure.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: February 17, 2009
    Assignee: Elpida Memory, Inc.
    Inventors: Naonori Fujiwara, Hiroyuki Kitamura
  • Publication number: 20090042408
    Abstract: A semiconductor device manufacturing method comprises a process of forming a film on each of multiple substrates arrayed in a processing chamber by a thermal CVD method by supplying a film forming gas into the processing chamber while heating the interior of the processing chamber, wherein in the film forming process, a cycle is performed one time or multiple times with one cycle including a step of flowing the film forming gas from one end towards the other end along the substrate array direction, and a step of flowing the film forming gas from the other end towards the one end along the substrate array direction, without forming temperature gradient along the substrate array direction in the processing chamber.
    Type: Application
    Filed: August 7, 2008
    Publication date: February 12, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Kiyohiko MAEDA
  • Patent number: 7488694
    Abstract: The present invention provides nitrogenous compositions for forming a silicon nitride layer, wherein the nitrogenous composition comprises a hydrazine compound, an amine compound or a mixture thereof. The present invention further provides source compositions for forming a silicon nitride layer, wherein the source composition comprises a nitrogenous composition comprising a hydrazine compound, an amine compound or a mixture thereof, and a silicon source comprising hexachlorodisilane. Methods for forming silicon nitride layers are further provided. The silicon nitride layers provided herein may be formed on a substrate at a low temperature and may further exhibit improved breakdown voltage and an enhanced etch resistance.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: February 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Gyun Kim, Jae-Young Ahn, Hee-Seok Kim, Ju-Wan Lim
  • Patent number: 7482286
    Abstract: Method for producing a metal silicon (oxy)nitride by introducing a carbon-free silicon source (for example, (SiH3)3N), a metal precursor with the general formula MXn (for example, Hf(NEt2)4), and an oxidizing agent (for example, O2) into a CVD chamber and reacting same at the surface of a substrate. MsiN, MSIo and/or MSiON films may be obtained. These films are useful are useful as high k dielectrics films.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: January 27, 2009
    Assignee: L'Air Liquide, Societe Anonyme A Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Ashutosh Misra, Matthew Fisher, Benjamin Jurcik, Christian Dussarrat, Eri Tsukada, Jean-Marc Girard
  • Patent number: 7476594
    Abstract: A method is disclosed for fabricating a silicon nitride regions in silicon carbide. The method includes the steps of implanting a sufficient dose and energy of nitrogen ions into a silicon carbide substrate maintained at a temperature above about 350° C. to produce an as-implanted layer of a silicon nitride composition in the silicon carbide, and annealing the as-implanted layer to form a silicon nitride composition. In some embodiments, the formed region of silicon nitride provides an insulating layer. In some embodiments, the silicon nitride region is buried under a surface layer of silicon carbide. Methods of separating silicon carbide by implantation and lift-off are additionally disclosed.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: January 13, 2009
    Assignee: Cree, Inc.
    Inventor: Alexander V. Suvorov
  • Patent number: 7473655
    Abstract: Embodiments of the invention generally provide a method for depositing silicon-containing films. In one embodiment, a method for depositing silicon-containing material film on a substrate includes flowing a nitrogen and carbon containing chemical into a deposition chamber, flowing a silicon-containing source chemical having silicon-nitrogen bonds into the processing chamber, and heating the substrate disposed in the chamber to a temperature less than about 550 degrees Celsius. In another embodiment, the silicon containing chemical is trisilylamine and the nitrogen and carbon containing chemical is (CH3)3—N.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: January 6, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yaxin Wang, Yuji Maeda, Thomas C. Mele, Sean M. Seutter, Sanjeev Tandon, R. Suryanarayanan Iyer
  • Patent number: 7470612
    Abstract: A method of forming a metal wiring layer of a semiconductor device produces metal wiring that is free of defects. The method includes forming an insulating layer pattern defining a recess on a substrate, forming a conformal first barrier metal layer on the insulating layer pattern, and forming a second barrier metal layer on the first barrier metal layer in such a way that the second barrier metal layer will facilitate the growing of metal from the bottom of the recess such that the metal can fill a bottom part of the recess completely and thus, form damascene wiring. An etch stop layer pattern is formed after the damascene wiring is formed so as to fill the portion of the recess which is not occupied by the damascene wiring.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: December 30, 2008
    Assignee: Samsung Electronics Co, Ltd.
    Inventors: Kyung-in Choi, Sung-ho Han, Sang-woo Lee, Dae-yong Kim
  • Patent number: 7470637
    Abstract: A method of using a film formation apparatus for a semiconductor process includes removing by a cleaning gas a by-product film deposited on an inner surface of a reaction chamber of the film formation apparatus, and then chemically planarizing the inner surface of the reaction chamber by a planarizing gas. The inner surface contains as a main component quartz or silicon carbide. The removing is performed while supplying the cleaning gas into the reaction chamber, and setting the reaction chamber at a first temperature and first pressure to activate the cleaning gas. The planarizing is performed while supplying the planarizing gas into the reaction chamber, and setting the reaction chamber at a second temperature and second pressure to activate the planarizing gas. The planarizing gas contains fluorine and hydrogen fluoride. The second temperature is within a range of from 300 to 800° C.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: December 30, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Okada, Toshiharu Nishimura, Atsushi Endo
  • Publication number: 20080311760
    Abstract: A silicon nitride film is formed on a target substrate by performing a plurality of cycles in a process field configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas. Each of the cycles includes a first supply step of performing supply of the first process gas while maintaining a shut-off state of supply of the second process gas, and a second supply step of performing supply of the second process gas, while maintaining a shut-off state of supply of the first process gas. The method is arranged to repeat a first cycle set with the second supply step including an excitation period of exciting the second process gas and a second cycle set with the second supply step including no period of exciting the second process gas.
    Type: Application
    Filed: June 6, 2008
    Publication date: December 18, 2008
    Inventors: Nobutake Nodera, Masanobu Matsunaga, Kazuhide Hasebe, Kota Umezawa, Pao-Hwa Chou
  • Publication number: 20080305647
    Abstract: It is made possible to restrain generation of defects at the time of insulating film formation. A method for manufacturing a semiconductor device, includes: placing a semiconductor substrate into an atmosphere, thereby forming a nitride film on a surface of the semiconductor substrate, the atmosphere containing a first nitriding gas nitriding the surface of the semiconductor substrate and a first diluent gas not actually reacting with the semiconductor substrate, the ratio of the sum of the partial pressure of the first diluent gas and the partial pressure of the first nitriding gas to the partial pressure of the first nitriding gas being 5 or higher, and the total pressure of the atmosphere being 40 Torr or lower.
    Type: Application
    Filed: August 28, 2006
    Publication date: December 11, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Daisuke Matsushita, Koichi Muraoka, Koichi Kato, Yasushi Nakasaki, Yuichiro Mitani
  • Patent number: 7462571
    Abstract: An impurity-doped silicon nitride or oxynitride film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas containing a silane family gas, a second process gas containing a nitriding or oxynitriding gas, and a third process gas containing a doping gas. This method alternately includes first to fourth steps. The first step performs supply of the first and third process gases to the field. The second step stops supply of the first to third process gases to the field. The third step performs supply of the second process gas to the field while stopping supply of the first and third process gases to the field, and includes an excitation period of exciting the second process gas by an exciting mechanism. The fourth step stops supply of the first to third process gases to the field.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: December 9, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Mitsuhiro Okada, Pao-Hwa Chou, Chaeho Kim, Jun Ogawa
  • Publication number: 20080293255
    Abstract: A method for fabricating a nonvolatile charge trap memory device is described. The method includes providing a substrate having a charge-trapping layer disposed Thereon. A portion of the charge-trapping layer is then oxidized to form a blocking Dielectric layer above the charge-trapping layer by exposing the charge-trapping layer to a radical oxidation process.
    Type: Application
    Filed: May 21, 2008
    Publication date: November 27, 2008
    Inventor: Krishnaswamy Ramkumar
  • Patent number: 7452830
    Abstract: Semiconductor devices and methods for manufacturing the same are disclosed. An example method includes loading a first substrate to be provided with an oxynitride layer along with a second substrate having a nitride layer in a boat, and forming the oxynitride layer on the first substrate by placing the boat into a furnace and thermally treating the boat under an oxygen atmosphere.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: November 18, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Chul-Ho Shin, Tae-Hong Kim
  • Patent number: 7453090
    Abstract: A method of manufacturing a semiconductor device includes forming isolation regions, a gate insulator film and gate electrodes, implanting in the silicon substrate with impurity ions, annealing to recover crystallinity of the implanted silicon substrate without diffusing the impurity ions, depositing an interlayer insulator film on the isolation regions, the silicon substrate, and the gate electrodes, and heating the silicon substrate by irradiating a light having a wavelength that the light is absorbed by the silicon substrate without being absorbed by the interlayer insulator film, activating the impurity ions so as to form source and drain regions.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: November 18, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takayuki Ito
  • Publication number: 20080274605
    Abstract: A method of manufacturing a silicon nitride film that forms a silicon nitride film on a surface of a substrate comprises sequentially repeating first through third steps. The first step includes feeding a first gas containing silicon and nitrogen to the surface of the substrate. The second step includes feeding a second gas containing nitrogen to the surface of the substrate. The third step includes feeding a third gas containing hydrogen to the surface of the substrate.
    Type: Application
    Filed: July 2, 2008
    Publication date: November 6, 2008
    Applicants: Semiconductor Leading Edge Technologies, Inc., TOKYO ELECTRON LIMITED
    Inventors: Takeshi Hoshi, Tsuyoshi Saito, Hitoshi Kato, Koichi Orito
  • Publication number: 20080274626
    Abstract: In certain embodiments methods for depositing materials on substrates, and more particularly, methods for depositing dielectric layers, such as silicon oxides or silicon oxynitrides, on germanium substrates are provided. The methods involve depositing a barrier layer on the germanium substrate to prevent oxidation of the germanium substrate when forming a dielectric layer on the germanium substrate. In certain embodiments, a silicon layer is deposited on the germanium substrate to form a barrier layer. In certain embodiments, nitridation of the germanium substrate forms a GexNy layer which functions as a barrier layer. In certain embodiments, a silicon nitride layer is deposited on the germanium substrate to form a barrier layer.
    Type: Application
    Filed: May 4, 2007
    Publication date: November 6, 2008
    Inventors: Frederique Glowacki, Laurent Vandroux, Rajesh Mani
  • Publication number: 20080272444
    Abstract: A method of manufacturing a semiconductor device of the present invention is a method of manufacturing a semiconductor device that is provided with a step of successively forming a gate insulating film and a gate electrode on a semiconductor substrate and a step of forming a silicon nitride film that covers at least the gate insulating film and the side portions of the gate electrode, in which the silicon nitride film is formed by laminating a plurality of silicon nitride layers by repeating a step of forming a silicon nitride layer of a predetermined thickness by the low-pressure chemical vapor deposition method and a step of exposing the silicon nitride layer to nitrogen.
    Type: Application
    Filed: March 18, 2008
    Publication date: November 6, 2008
    Applicant: Elpida Memory, Inc.
    Inventor: Hiroyuki KITAMURA
  • Patent number: 7446394
    Abstract: A semiconductor device in which selectivity in epitaxial growth is improved. There is provided a semiconductor device comprising a gate electrode formed over an Si substrate, which is a semiconductor substrate, with a gate insulating film therebetween and an insulating layer formed over sides of the gate electrode and containing a halogen element. With this semiconductor device, a silicon nitride film which contains the halogen element is formed over the sides of the gate electrode when an SiGe layer is formed over the Si substrate. Therefore, the SiGe layer epitaxial-grows over the Si substrate with high selectivity. As a result, an OFF-state leakage current which flows between, for example, the gate electrode and source/drain regions is suppressed and a manufacturing process suitable for actual mass production is established.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: November 4, 2008
    Assignee: Fujitsu Limited
    Inventors: Masahiro Fukuda, Yosuke Shimamune, Masaaki Koizuka, Katsuaki Ookoshi
  • Patent number: 7446062
    Abstract: The present invention provides a semiconductor device having dual silicon nitride liners and a reformed silicide layer and related methods for the manufacture of such a device. The reformed silicide layer has a thickness and resistance substantially similar to a silicide layer not exposed to the formation of the dual silicon nitride liners. A first aspect of the invention provides a method for use in the manufacture of a semiconductor device comprising the steps of applying a first silicon nitride liner to a silicide layer, removing a portion of the first silicon nitride liner, reforming a portion of the silicide layer removed during the removal step, and applying a second silicon nitride liner to the silicide layer.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Ying Li, Rajeev Malik, Shreesh Narasimha
  • Patent number: 7442653
    Abstract: An exemplary manufacturing method of an inter-metal dielectric of a semiconductor device according to an embodiment of the present invention includes forming a first silicon-rich oxide (SRO) layer on a silicon substrate provided with or otherwise having a copper line layer therein, forming a plasma enhanced fluorosilicate glass (PEFSG) layer on the first SRO layer, plasma-treating the PEFSG layer, and forming a second SRO layer on the plasma-treated PEFSG layer. According to the present invention, the thickness of the second SRO layer of the inter-metal dielectric can be reduced. Consequently, process cost can be reduced, and the total thickness of the inter-metal dielectric can be reduced so as to lower the dielectric constant thereof, reduce the aspect ratio of any via holes that are subsequently formed in the inter-metal dielectric, and potentially increase the yield as a result of the reduced via hole aspect ratio.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: October 28, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Tae-Young Lee
  • Patent number: 7442598
    Abstract: A method for forming a semiconductor device comprises providing a semiconductor substrate; forming a first stressor layer over a surface of the semiconductor substrate; selectively removing portions of the first stressor layer; forming a second stressor layer over the surface of the semiconductor substrate and the first stressor layer; and selectively removing portions of the second stressor layer using an isotropic etch. In one embodiment, the isotropic etch is a wet etch that selectively removes the second stressor layer without removing a significant amount of the first stressor layer and also planarizing a boundary between the first stressor layer and the second stressor layer.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: October 28, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Paul A. Grudowski, Stanley M. Filipiak, Yongloo Jeon, Chad E. Weintraub
  • Patent number: 7435683
    Abstract: Embodiments of an apparatus and methods for fabricating a spacer on one part of a multi-gate transistor without forming a spacer on another part of the multi-gate transistor are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Jack T. Kavalieros, Uday Shah, Willy Rachmady, Brian S. Doyle
  • Publication number: 20080242116
    Abstract: A method for forming a strained SiN film and a semiconductor device containing the strained SiN film. The method includes exposing the substrate to a gas including a silicon precursor, exposing the substrate to a gas containing a nitrogen precursor activated by a plasma source at a first level of plasma power and configured to react with the silicon precursor with a first reactivity characteristic, and exposing the substrate to a gas containing the nitrogen precursor activated by the plasma source at a second level of plasma power different from the first level and configured to react with the silicon precursor with a second reactivity characteristic such that a property of the silicon nitride film formed on the substrate changes to provide the strained silicon nitride film.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Robert D. Clark
  • Publication number: 20080237865
    Abstract: Provided is a method for manufacturing a semiconductor device. The method for manufacturing the semiconductor device, without limitation, includes forming a first semiconductor layer over a substrate, and forming a second semiconductor layer over the first semiconductor layer, wherein an amorphous nitrided silicon adhesion layer is located between and adheres the first and second semiconductor layers.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Maria Wang, Erika Leigh Shoemaker, Mary Roby, Stuart Jacobsen
  • Patent number: 7429517
    Abstract: A MOS transistor structure comprising a gate dielectric layer (30), a gate electrode (40), and source and drain regions (70) are formed in a semiconductor substrate (10). First second and third dielectric layers (110), (120), and (130) are formed over the MOS transistor structure. The second and third dielectric structures (120), (130) are removed leaving a MOS transistor with a stressed channel region resulting in improved channel mobility characteristics.
    Type: Grant
    Filed: May 13, 2004
    Date of Patent: September 30, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Zhiqiang Wu, Haowen Bu
  • Publication number: 20080211066
    Abstract: A barrier film formed on top of a substrate, a barrier film formed so as to cover a functional element region fabricated on top of a substrate, or a barrier film formed on both a substrate and a functional element region, wherein the barrier film includes at least one layer of a silicon nitride film formed by laminating two or more silicon nitride layers having different Si/N composition ratios.
    Type: Application
    Filed: February 29, 2008
    Publication date: September 4, 2008
    Applicant: KABUSHIKI KAISHA TOYOTA CHUO KENKYUSHO
    Inventors: Kunio Akedo, Atsushi Miura, Koji Noda, Hisayoshi Fujikawa
  • Patent number: 7420202
    Abstract: An electronic device can include a transistor structure of a first conductivity type, a field isolation region, and a layer of a first stress type overlying the field isolation region. For example, the transistor structure may be a p-channel transistor structure and the first stress type may be tensile, or the transistor structure may be an n-channel transistor structure and the first stress type may be compressive. The transistor structure can include a channel region that lies within an active region. An edge of the active region includes the interface between the channel region and the field isolation region. From a top view, the layer can include an edge the lies near the edge of the active region. The positional relationship between the edges can affect carrier mobility within the channel region of the transistor structure.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: September 2, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vance H. Adams, Paul A. Grudowski, Venkat R. Kolagunta, Brian A. Winstead
  • Patent number: 7416997
    Abstract: A method of fabricating a semiconductor device having a silicon nitride layer substantially free of impurities includes forming a silicon nitride layer on a semiconductor substrate and annealing the semiconductor substrate having the silicon nitride layer in an atmosphere of ammonia (NH3) gas to remove impurities from the silicon nitride layer. The silicon nitride layer may be formed using BTBAS as a silicon precursor.
    Type: Grant
    Filed: November 17, 2005
    Date of Patent: August 26, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyong-Min Kim, Sang-Kyu Park, Sang-Woon Kim, Jae-Hwan Kim
  • Publication number: 20080191322
    Abstract: A method of preventing the formation of cracks on the backside of a silicon (Si) semiconductor chip or wafer during the processing thereof. Also provided is a method for inhibiting the propagation of cracks, which have already formed in the backside of a silicon chip during the processing thereof and prior to the joining thereto of a substrate during the fabrication of an electronic package. The methods entail either treating the backside with a wet etch, or alternatively, applying a protective film layer thereon prior to forming an electronic package incorporating the chip or wafer.
    Type: Application
    Filed: April 22, 2008
    Publication date: August 14, 2008
    Applicant: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Jerome B. Lasky, Christopher D. Muzzy, Wolfgang Sauter
  • Publication number: 20080173986
    Abstract: A method for making a semiconductor device is provided which comprises (a) providing a semiconductor structure equipped with a gate (209) and a channel region, said channel region being associated with the gate; (b) depositing a first sub-layer (231) of a first stressor material over the semiconductor structure, said first stressor material containing silicon-nitrogen bonds and imparting tensile stress to the semiconductor structure; (c) curing the first stressor material through exposure to a radiation source; (d) depositing a second sub-layer (233) of a second stressor material over the first sub-layer, said second stressor material containing silicon-nitrogen bonds and imparting tensile stress to the semiconductor structure; and (e) curing the second sub-layer of stressor material through exposure to a radiation source.
    Type: Application
    Filed: January 11, 2008
    Publication date: July 24, 2008
    Inventors: Kurt H. Junker, Paul A. Grudowski, Xiang-Zheng Bo, Tien Ying Luo
  • Patent number: 7402535
    Abstract: The present invention provides the method includes forming source/drain regions 170 in a semiconductor wafer substrate 110 adjacent a gate structure 130 located on a front side of the semiconductor wafer substrate 110. The source/drain regions 170 have a channel region 175 located between them. A first stress-inducing layer 190 is placed on a backside of the semiconductor wafer substrate 110 and is subjected to a thermal anneal to cause a stress to form in the channel region 175.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: July 22, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Mahalingam Nandakumar, Haowen Bu
  • Patent number: 7402513
    Abstract: It is an object of the present invention to provide a method for forming an interlayer insulation film suppressing the occurrence of voids in the interlayer insulation film. A method for forming an interlayer insulation film of the present invention, comprising the steps of: (1) forming an etching stopper film of a silicon nitride film on an entire surface including a step part on a semiconductor substrate having the step part with an aspect ratio of ?3; (2) forming an interlayer insulation film of an impurity-doped silicate film on the silicon nitride film; and (3) performing reflow of the interlayer insulation film by a heat treatment, wherein the formation of the silicon nitride film is controlled such that the N—H bond density of the silicon nitride film is 1.0×1022 pieces/cm3 or less.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: July 22, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Takanori Sonoda, Kazumasa Mitsumune, Kenichiroh Abe, Yushi Inoue, Tsukasa Doi
  • Patent number: 7396776
    Abstract: A semiconductor-on-insulator structure includes a buried dielectric layer interposed between a base semiconductor substrate and a surface semiconductor layer. The buried dielectric layer comprises an oxide material that includes a nitrogen gradient that peaks at the interface of the buried dielectric layer with at least one of the base semiconductor substrate and surface semiconductor layer. The interface of the buried dielectric layer with the at least one of the base semiconductor substrate and surface semiconductor layer is abrupt, providing a transition in less than about 5 atomic layer thickness, and having less than about 10 angstroms RMS interfacial roughness. A second dielectric layer comprising an oxide dielectric material absent nitrogen may be located interposed between the buried dielectric layer and the surface semiconductor layer.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Anthony I. Chou, Toshiharu Furukawa, Wilfried Haensch, Zhibin Ren, Dinkar V. Singh, Jeffrey W. Sleight
  • Publication number: 20080160786
    Abstract: A method for forming a high stress layer is provided. According to the method, a substrate is put into a reactor of a PECVD machine and a reaction gas is added into the reactor. Then, an assistant reaction gas which has the molecular weight greater than or equal to the molecular weight of nitrogen gas is added into the reactor. Next, a carrier gas which has the molecular weight smaller than the molecular weight of nitrogen gas is added into the reactor to increase the bombarding efficiency in film deposition. Thereby, the high stress layer is formed on the substrate.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 3, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang
  • Publication number: 20080160787
    Abstract: A method for manufacturing a thin-layer structure is disclosed. In one embodiment a macroporous supporting structure substrate having a plurality of pores that do not pass through the entire thickness of the substrate layer, a sacrificial layer is applied on the surface of the pore walls and the pore bottoms of the supporting structure substrate. The supporting structure substrate is partly removed on the rear side, such that a region of the sacrificial layer is uncovered on the rear side of the supporting structure substrate. A thin layer is applied on the rear side surface of the supporting structure substrate and also on the uncovered region of the sacrificial layer. The sacrificial layer in the pores is removed selectively with respect to the thin layer, such that the pore bottoms are formed by the thin layer.
    Type: Application
    Filed: February 13, 2006
    Publication date: July 3, 2008
    Applicant: QIMONDA AG
    Inventor: Volker Lehmann
  • Patent number: 7387972
    Abstract: In-situ steam generation (ISSG) is used to reduce the nitrogen concentration in silicon and silicon oxide areas.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: June 17, 2008
    Assignee: Promos Technologies Pte. Ltd.
    Inventors: Zhong Dong, Chiliang Chen, Ching-Hwa Chen
  • Publication number: 20080128871
    Abstract: A silicon nitride thin film formation apparatus is provided for stationary and moving substrates and a process for forming such films. The process provides high uniformity of film thickness and film properties as well as a high deposition rate. The film properties are adequate for application as an antireflection layer or passivation layer in solar cell devices or as dielectric layer in thin film transistors. The apparatus includes a number of metal filaments. In the space within the formation apparatus opposite to the substrate with respect to the filaments, a gas dosage system is arranged at a predetermined distance of the filaments. The film formation apparatus for stationary substrates also contains a shutter to control the starting and ending conditions for film formation and to control the film thickness.
    Type: Application
    Filed: March 3, 2005
    Publication date: June 5, 2008
    Inventors: Rudolf Emmanuel Isidore Schropp, Catharina Henriette Maria Van Der Werf, Bernd Stannowski
  • Patent number: 7381620
    Abstract: A method includes forming at least a portion of a semiconductor device in a processing chamber containing oxygen and removing substantially all of the oxygen from the processing chamber. The method further includes forming remaining portions of the semiconductor device in the processing chamber without the presence of oxygen.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: June 3, 2008
    Assignee: Spansion LLC
    Inventors: Boon-Yong Ang, Hidehiko Shiraiwa, Simon S. Chan, Harpreet K. Sachar, Mark Randolph
  • Patent number: 7381660
    Abstract: A silicon nitride layer having a silicon-rich sub-layer and a standard sub-layer is formed on a copper surface to obtain excellent electromigration characteristics due to the standard sub-layer that is in contact with the copper, while maintaining a superior diffusion barrier behavior due to the silicon-rich sub-layer. By combining these sub-layers, the overall thickness of the silicon nitride layer may be kept small compared to conventional silicon nitride barrier layers, thereby reducing the capacitive coupling of adjacent copper lines.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: June 3, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Larry Zhao, Jeremy Martin, Hartmut Ruelke
  • Patent number: 7371649
    Abstract: A method for forming a carbon-containing silicon nitride layer with superior uniformity by low pressure chemical vapor deposition (LPCVD) using disilane, ammonia and at least one carbon-source precursor as reactant gases is provided.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: May 13, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Po-Lun Cheng
  • Patent number: 7372113
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a gate electrode, a first insulating film formed between the semiconductor substrate and the gate electrode, and a second insulating film formed along a top surface or a side surface of the gate electrode and including a lower silicon nitride film containing nitrogen, silicon and hydrogen and an upper silicon nitride film formed on the lower silicon nitride film and containing nitrogen, silicon and hydrogen, and wherein a composition ratio N/Si of nitrogen (N) to silicon (Si) in the lower silicon nitride film is higher than that in the upper silicon nitride film.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: May 13, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Tanaka, Yoshio Ozawa, Shigehiko Saida, Akira Goda, Mitsuhiro Noguchi, Yuichiro Mitani, Yoshitaka Tsunashima
  • Patent number: 7371627
    Abstract: A memory array with data/bit lines extending generally in a first direction formed in an upper surface of a substrate and access transistors extending generally upward and aligned generally atop a corresponding data/bit line. The access transistors have a pillar extending generally upward with a source region formed so as to be in electrical communication with the corresponding data/bit line and a drain region formed generally at an upper portion of the pillar and a surround gate structure substantially completely encompassing the pillar in lateral directions and extending substantially the entire vertical extent of the pillar and word lines extending generally in a second direction and in electrical contact with a corresponding surround gate structure at least a first surface thereof such that bias voltage applied to a given word line is communicated substantially uniformly in a laterally symmetric extent about the corresponding pillar via the surround gate structure.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: May 13, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7361611
    Abstract: Adding at least one non-silicon precursor (such as a germanium precursor, a carbon precursor, etc.) during formation of a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film improves the deposition rate and/or makes possible tuning of properties of the film, such as tuning of the stress of the film. Also, in a doped silicon oxide or doped silicon nitride or other doped structure, the presence of the dopant may be used for measuring a signal associated with the dopant, as an etch-stop or otherwise for achieving control during etching.
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: April 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Judson Holt, Kevin K. Chan, Sadanand V. Deshpande, Rangarajan Jagannathan
  • Patent number: 7358595
    Abstract: Disclosed is a method for fabricating a MOS transistor. The present method includes forming a buffer layer pattern including nitrogen on the semiconductor substrate; forming a gate insulating layer and a gate electrode on the exposed substrate surface; forming a LDD region in the substrate under the buffer pattern; forming a spacer on a top surface of the buffer pattern and sidewalls of the gate electrode; and forming a source/drain region in the substrate under the buffer pattern.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: April 15, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Eun Jong Shin
  • Patent number: 7351670
    Abstract: Silicon nitride film is formed on a silicon wafer mounted in a boat in an LPCVD tool by feeding a silicon source (SiH2Cl2, SiCl4, Si2Cl6, etc.) from an injector and feeding a mixed gas of monomethylamine (CH3NH2) and ammonia (NH3) as the nitrogen source from an injector. This addition of monomethylamine to the source substances for film production makes it possible to provide an improved film quality and improved leakage characteristics even at low temperatures (450-600° C.).
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: April 1, 2008
    Assignee: L'Air Liquide, Societe Anonyme A Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Takeshi Hoshi, Tsuyoshi Saito, Takako Kimura, Christian Dussarrat, Kazutaka Yanagita
  • Patent number: 7338826
    Abstract: This invention pertains to an electronic device and to a method for making it. The device is a heterojunction transistor, particularly a high electron mobility transistor, characterized by presence of a 2 DEG channel. Transistors of this invention contain an AlGaN barrier and a GaN buffer, with the channel disposed, when present, at the interface of the barrier and the buffer. Surface treated with ammonia plasma resembles untreated surface. The method pertains to treatment of the device with ammonia plasma prior to passivation to extend reliability of the device beyond a period of time on the order of 300 hours of operation, the device typically being a 2 DEG AlGaN/GaN high electron mobility transistor with essentially no gate lag and with essentially no rf power output degradation.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: March 4, 2008
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Jeffrey A. Mittereder, Andrew P. Edwards, Steven C. Binari
  • Patent number: 7332447
    Abstract: A method of forming a contact is provided. A substrate having at least two metal oxide semiconductor devices is provided and a gap is formed between the two devices. A first stress layer is formed over the substrate to cover the metal-oxide semiconductor devices and the substrate. The first stress layer is formed by first forming a first stress material layer over the substrate to cover the metal-oxide semiconductor devices and to fill the gap, wherein the stress material inside the gap has a seam. An etching back process is then performed to remove a portion of the stress material layer inside the gap. A second stress layer and a dielectric layer are sequentially formed on the first stress layer. A portion of the second stress layer is removed to form a contact opening. A second conductive layer is filled into the contact opening to form a contact.
    Type: Grant
    Filed: November 24, 2005
    Date of Patent: February 19, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang
  • Publication number: 20080032509
    Abstract: A method for forming a nitrogen-containing gate insulating film includes the steps of forming a silicon oxide film on a silicon substrate, nitriding the top portion of the silicon oxide film to form a thin silicon nitride layer, and forming a silicon nitride film on the silicon nitride layer by using an atomic layer deposition process, to obtain a gate insulating film having a higher nitrogen concentration, while suppressing the nitrogen concentration in the vicinity of the gate insulating film and the silicon substrate.
    Type: Application
    Filed: August 3, 2007
    Publication date: February 7, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Takuo OHASHI, Taishi KUBOTA
  • Patent number: 7316970
    Abstract: A method for forming a resist protect layer on a semiconductor substrate includes the following steps. An isolation structure is formed on the semiconductor substrate. An original nitride layer having a substantial etch selectivity to the isolation structure is formed over the semiconductor substrate. A photoresist mask is formed for partially covering the original nitride layer. A wet etching is performed to remove the original nitride layer uncovered by the photoresist mask in such a way without causing substantial damage to the isolation structure. As such, the original nitride layer covered by the photoresist mask constitutes the resist protect layer.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: January 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Hao Chen, Ju-Wang Hsu, Chia-Lin Chen, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 7314836
    Abstract: The performance of NMOS and PMOS regions of integrated circuits is improved. Embodiments of the invention include forming a first dielectric layer optimized for n-doped regions over the n-doped regions and forming a second dielectric layer optimized for p-doped regions over p-doped regions.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: January 1, 2008
    Assignee: Intel Corporation
    Inventors: Oleg Golonzka, Ajay K. Sharma, Nadia M. Rahhal-Orabi, Anthony St. Amour, James S. Chung