Radiation Or Energy Treatment Modifying Properties Of Semiconductor Region Of Substrate (e.g., Thermal, Corpuscular, Electromagnetic, Etc.) Patents (Class 438/795)
  • Patent number: 8652974
    Abstract: A fiber laser system enables a method for treating a semiconductor material by preheating a wafer for laser annealing and gas immersion laser doping by a laser source. A long wave length fiber laser having a Gaussian or similar profile is applied in a full-width ribbon beam across an incident wafer. Preferably the wavelength is greater than 1 ?m (micron) and preferably a Yb doped fiber laser is used. The process is performed in a suitable environment which may include doping species. The process ensures the temperature gradient arising during processing does not exceed a value that results in fracture of the wafer while also reducing the amount of laser radiation required to achieve controlled surface melting, recrystallization and cooling.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: February 18, 2014
    Assignee: IPG Photonics Corporation
    Inventor: Bernhard Piwczyk
  • Publication number: 20140045347
    Abstract: In some embodiments, a method of processing a film is provided, the method comprising defining a plurality of spaced-apart regions to be pre-crystallized within the film, the film being disposed on a substrate and capable of laser-induced melting; generating a laser beam having a fluence that is selected to form a mixture of solid and liquid in the film and where a fraction of the film is molten throughout its thickness in an irradiated region; positioning the film relative to the laser beam in preparation for at least partially pre-crystallizing a first region of said plurality of spaced-apart regions; directing the laser beam onto a moving at least partially reflective optical element in the path of the laser beam, the moving optical element redirecting the beam so as to scan a first portion of the first region with the beam in a first direction at a first velocity, wherein the first velocity is selected such that the beam irradiates and forms the mixture of solid and liquid in the first portion of the firs
    Type: Application
    Filed: October 16, 2013
    Publication date: February 13, 2014
    Applicant: The Trustees Of Columbia University In The City Of New York
    Inventor: James S. IM
  • Publication number: 20140045346
    Abstract: The disclosed subject matter relates to the use of laser crystallization of thin films to create epitaxially textured crystalline thick films. In one or more embodiments, a method for preparing a thick crystalline film includes providing a film for crystallization on a substrate, wherein at least a portion of the substrate is substantially transparent to laser irradiation, said film including a seed layer having a predominant surface crystallographic orientation; and a top layer disposed above the seed layer; irradiating the film from the back side of the substrate using a pulsed laser to melt a first portion of the top layer at an interface with the seed layer while a second portion of the top layer remains solid; and re-solidifying the first portion of the top layer to form a crystalline laser epitaxial with the seed layer thereby releasing heat to melt an adjacent portion of the top layer.
    Type: Application
    Filed: October 14, 2013
    Publication date: February 13, 2014
    Applicant: THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK
    Inventor: James S. IM
  • Publication number: 20140038430
    Abstract: In a method for processing an object by heating the object, microwaves are irradiated to the object. In the microwave irradiation, the object is forcedly cooled.
    Type: Application
    Filed: July 18, 2013
    Publication date: February 6, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiro Kabe, Taichi Monden, Kouji Shimomura, Kentaro Shiraga, Yoshimasa Watanabe, Yoshihiro Hirota, Junichi Kitagawa
  • Publication number: 20140038431
    Abstract: Methods and apparatus for radiation processing of semiconductor substrates using microwave or millimeter wave energy are provided. The microwave or millimeter wave energy may have a frequency between about 600 MHz and about 1 THz. Alternating current from a magnetron is coupled to a leaky microwave emitter that has an inner conductor and an outer conductor, the outer conductor having openings with a dimension smaller than a wavelength of the emitted radiation. The inner and outer conductors are separated by an insulating material. Interference patterns produced by the microwave emissions may be uniformized by phase modulating the power to the emitter and/or by frequency modulating the frequency of the power itself. Power from a single generator may be divided to two or more emitters by a power divider.
    Type: Application
    Filed: March 29, 2012
    Publication date: February 6, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Michael W. Stowell, Majeed A. Foad, Ralf Hofmann, Wolfgang R. Aderhold, Stephen Moffatt
  • Patent number: 8642488
    Abstract: A multi-step system and method for curing a dielectric film in which the system includes a drying system configured to reduce the amount of contaminants, such as moisture, in the dielectric film. The system further includes a curing system coupled to the drying system, and configured to treat the dielectric film with ultraviolet (UV) radiation and infrared (IR) radiation in order to cure the dielectric film.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: February 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Junjun Liu, Eric M. Lee, Dorel L. Toma
  • Patent number: 8629068
    Abstract: The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: January 14, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Krishnan Shrinivasan, Michael Rivkin, Eugene Smargiassi, Mohamed Sabri
  • Patent number: 8628990
    Abstract: A photodetector is formed in a front surface of a substrate. The substrate is thinned from a back surface of the substrate. A plurality of dopants is introduced into the thinned substrate from the back surface. The plurality of dopants in the thinned substrate is annealed. An anti-reflective layer is deposited over the back surface of the thinned substrate. A micro lens is formed over the anti-reflective layer. At least one ultraviolet (UV) radiation treatment is performed after at least one of the preceding steps.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Ta Wu, Sheng-Chieh Chiao, Yeur-Luen Tu, Chia-Shiung Tsai, Shuang-Ji Tsai
  • Publication number: 20140011373
    Abstract: Methods for semiconductor processing include annealing a sacrificial material to change a characteristic of the sacrificial material. Changes may include reducing line edge roughness, changing density, changing surface chemistry, or changing a dimension of patterns of the sacrificial material. At least one additional process may be included to change a layer positioned below the sacrificial material before removing all, or substantially all, of the sacrificial material.
    Type: Application
    Filed: December 28, 2011
    Publication date: January 9, 2014
    Inventors: Aravind Killampalli, Charles H. Wallace, Sridhar S. Govindaraju
  • Patent number: 8624153
    Abstract: A laser processing method which can efficiently perform laser processing while minimizing the deviation of the converging point of a laser beam in end parts of an object to be processed is provided.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: January 7, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Kazuhiro Atsumi, Koji Kuno, Masayoshi Kusunoki, Tatsuya Suzuki, Kenshi Fukumitsu, Fumitsugu Fukuyo
  • Patent number: 8624210
    Abstract: A quartz window with an interior plenum is operable as a shutter or UV filter in a degas chamber by supplying the plenum with an ozone-containing gas. Pressure in the plenum can be adjusted to block UV light transmission into the degas chamber or adjust transmittance of UV light through the window. When the plenum is evacuated, the plenum allows maximum transmission of UV light into the degas chamber.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: January 7, 2014
    Assignee: Lam Research Corporation
    Inventors: Yen-Kun Victor Wang, Shang-I Chou, Jason Autustino
  • Publication number: 20140004716
    Abstract: Methods and apparatus for processing substrates and controlling the heating and cooling of substrates are described. A radiation source providing radiation in a first range of wavelengths heats the substrate within a predetermined temperature range, the substrate being absorptive of radiation in a second range of wavelengths within the first range of wavelengths and within the predetermined temperature rang. A filter prevents at least a portion of radiation within the second wavelength range from reaching the substrate.
    Type: Application
    Filed: August 28, 2013
    Publication date: January 2, 2014
    Inventors: Blake R. Koelmel, Norman L. Tam, Joseph M. Ranish
  • Patent number: 8617967
    Abstract: A vertically oriented nanometer-wires structure is disclosed. The vertically oriented nanometer-wires structure includes a non-crystalline base and many straight nanometer-wires. The straight nanometer-wires are uniformly distributed on the non-crystalline base, and the angle between each of the straight nanometer-wire and the non-crystalline base is 80-90 degrees. The straight nanometer-wires structure can be widely applied in semiconductor, optoelectronic, biological and energy field. What is worth to be noticed is that the non-crystalline base can be glass, ceramics, synthetic, resin, rubber or even metal foil, and the straight nanometer-wires and the non-crystalline base are still orthogonal to each other.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: December 31, 2013
    Assignee: Tunghai University
    Inventor: Hsi-Lien Hsiao
  • Publication number: 20130337661
    Abstract: A surface of a semiconductor wafer with a gate of a high dielectric constant film formed thereon is heated to a target temperature for a short time by irradiating the surface with a flash of light. This promotes the crystallization of the high dielectric constant film while suppressing the growth of an underlying silicon dioxide film. Subsequently, the temperature of the semiconductor wafer subjected to the flash heating is maintained at an annealing temperature by irradiating the semiconductor wafer with light from halogen lamps. An annealing process after the flash heating is performed in an atmosphere of a gas mixture of hydrogen gas and nitrogen gas. The annealing process is performed on the semiconductor wafer in the atmosphere of the hydrogen-nitrogen gas mixture, so that defects present near the interfaces of the high dielectric constant film are eliminated by hydrogen termination.
    Type: Application
    Filed: June 11, 2013
    Publication date: December 19, 2013
    Inventor: Shinichi KATO
  • Publication number: 20130334199
    Abstract: Embodiments of the present invention provide an apparatus heating and supporting a substrate in a processing chamber. One embodiment of the present invention provides a substrate support assembly. The substrate support assembly includes a heated plate having a substrate supporting surface on a front side and a cantilever arm extending from a backside of the heated plate. The heated plate is configured to support and heat a substrate on the substrate supporting surface. The cantilever arm has a first end attached to the heated plate near a central axis of the heated plate, and a second end extending radially outwards from the central axis.
    Type: Application
    Filed: February 9, 2012
    Publication date: December 19, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Imad Yousif, Martin Jeffrey Salinas, Paul B. Reuter, Aniruddha Pal, Jared Ahmad Lee
  • Patent number: 8608853
    Abstract: Embodiments of the present invention provide apparatus and method for improving gas distribution during thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support is configured to support and rotate the substrate, a gas inlet assembly coupled to an inlet of the chamber body and configured to provide a first gas flow to the processing volume, and an exhaust assembly coupled to an outlet of the chamber body, wherein the gas inlet assembly and the exhaust assembly are disposed on opposite sides of the chamber body, and the exhaust assembly defines an exhaust volume configured to extend the processing volume.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: December 17, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ming-Kuei (Michael) Tseng, Norman Tam, Yoshitaka Yokota, Agus Tjandra, Robert Navasca, Mehran Behdjat, Sundar Ramamurthy, Kedarnath Sangam, Alexander N. Lerner
  • Patent number: 8610294
    Abstract: A conventional laser processing method has a problem that the number of scanning lines is large, and it is difficult to shorten the time needed for the marking. In a laser processing method of the present invention, a first laser processing is performed in accordance with the outer border of, for example, an English letter “A,” and thereafter, second and subsequent laser processings are performed on an inner region inside the outer border. In this event, for the second and subsequent laser processings, the respective processing lines (scanning lines) are set up in a longitudinal direction of a processing region. Thus, the number of processing lines is greatly reduced. As a result, the time needed for the marking is greatly shortened, and the laser marking workability is improved.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: December 17, 2013
    Assignee: On Semiconductor Trading, Ltd.
    Inventors: Yutaka Hasegawa, Masaaki Shiraishi
  • Publication number: 20130328217
    Abstract: An object of the present invention is to provide a method of marking a semiconductor element with which a semiconductor device can be manufactured effectively even in the case of marking every semiconductor element, and a method of manufacturing the semiconductor device. The present invention relates to a method of marking a semiconductor element, wherein marking is performed on a semiconductor element that is inserted in a pocket of a carrier that can be wound up in a reel state. The present invention relates to a method of manufacturing a semiconductor device comprising: a step 1 of inserting a semiconductor element in a pocket of a carrier that can be wound up in a reel state; and a step 2 of marking the semiconductor element that is inserted in the pocket.
    Type: Application
    Filed: June 5, 2013
    Publication date: December 12, 2013
    Inventors: Naohide TAKAMOTO, Goji SHIGA
  • Publication number: 20130330844
    Abstract: Laser annealing systems and methods for annealing a semiconductor wafer with ultra-short dwell times are disclosed. The laser annealing systems can include one or two laser beams that at least partially overlap. One of the laser beams is a pre-heat laser beam and the other laser beam is the annealing laser beam. The annealing laser beam scans sufficiently fast so that the dwell time is in the range from about 1 ?s to about 100 ?s. These ultra-short dwell times are useful for annealing product wafers formed from thin device wafers because they prevent the device side of the device wafer from being damaged by heating during the annealing process. Embodiments of single-laser-beam annealing systems and methods are also disclosed.
    Type: Application
    Filed: June 4, 2013
    Publication date: December 12, 2013
    Applicant: Ultratech, Inc.
    Inventors: Andrew M. Hawryluk, Serguei Anikitchev
  • Patent number: 8603850
    Abstract: To provide a method for manufacturing a solar cell, whereby solar cells can be mass-produced by a simple process at low cost. A first conductivity-type silicon powder (11) is prepared, a silicon powder layer (11a) is formed by disposing the powder in the form of a layer, the powder layer is melted by heating the powder layer to the melting point of silicon or higher, and a first conductivity-type silicon layer (11b) is formed by cooling the melted layer. A second conductivity-type silicon powder (12) is prepared, a second conductivity-type silicon powder layer (12a) is formed by disposing the powder in the form of a layer on the first conductivity-type silicon layer (11b), the powder layer is melted by heating the powder layer to the melting point of silicon or higher, and a second conductivity-type silicon layer (12b) is formed by cooling the melted layer.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: December 10, 2013
    Assignee: Sanki Dengyo Co., Ltd.
    Inventors: Hiroaki Oka, Nariaki Oka
  • Patent number: 8603857
    Abstract: A thin film transistor fabricating method is disclosed. The thin film transistor fabricating method comprises providing a substrate; forming an oxide semiconductor layer on an upper surface of the substrate; forming a gate insulating layer on an upper surface of the oxide semiconductor layer; masking a portion of the oxide semiconductor layer with the gate insulating layer; irradiating the oxide semiconductor layer with irradiating light having photon energy less than a band gap of the oxide semiconductor layer; forming a drain region and a source region at lateral portions of the oxide semiconductor layer exposed to the irradiating light, and forming a channel region in the portion of the oxide semiconductor layer masked by the gate insulating layer; and forming a gate electrode on an upper surface of the gate insulating layer.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: December 10, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Jian-Shihn Tsang
  • Publication number: 20130323936
    Abstract: Embodiments of the present invention provide apparatus and methods for performing rapid thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate. The apparatus includes a heating source disposed outside a chamber body and configured to provide thermal energy towards a processing volume. The substrate support defines a substrate supporting plane, and the substrate support is configured to support the substrate in the substrate supporting plane. The heating source includes a frame member having an inner wall surrounding an area large enough to encompass a surface area of the substrate, and a plurality of diode laser tiles mounted on the inner wall of the frame member. Each of the plurality of diode laser tiles is directed towards a corresponding area in the processing volume.
    Type: Application
    Filed: May 29, 2013
    Publication date: December 5, 2013
    Inventors: JOSEPH M. RANISH, Aaron Muir Hunter, Thomas F. Soules, Alexander M. Rubenchik
  • Patent number: 8598050
    Abstract: Disclosed are a laser annealing method and apparatus capable of forming a crystalline semiconductor thin film on the entire surface of a substrate without sacrificing the uniformity of crystallinity in a seam portion in a long-axis direction of laser light, the crystalline semiconductor thin film having good properties and high uniformity to an extent that the seam portion is not visually recognizable. During the irradiation of a linear beam, portions corresponding to the edges of the linear beam are shielded by a mask 10 which is disposed on the optical path of a laser light 2, and the mask 10 is operated so that the amount of shielding is periodically increased and decreased.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: December 3, 2013
    Assignee: IHI Corporation
    Inventors: Norihito Kawaguchi, Ryusuke Kawakami, Kenichiro Nishida, Miyuki Masaki, Masaru Morita
  • Patent number: 8598051
    Abstract: The present invention generally provides semiconductor substrates having submicron-sized surface features generated by irradiating the surface with ultra short laser pulses. In one aspect, a method of processing a semiconductor substrate is disclosed that includes placing at least a portion of a surface of the substrate in contact with a fluid, and exposing that surface portion to one or more femtosecond pulses so as to modify the topography of that portion. The modification can include, e.g., generating a plurality of submicron-sized spikes in an upper layer of the surface.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: December 3, 2013
    Assignee: President and Fellows of Harvard College
    Inventors: Eric Mazur, Mengyan Shen
  • Publication number: 20130316548
    Abstract: High throughput systems and processes for recrystallizing thin film semiconductors that have been deposited at low temperatures on a substrate are provided. A thin film semiconductor workpiece (170) is irradiated with a laser beam (164) to melt and recrystallize target areas of the surface exposed to the laser beam. The laser beam is shaped into one or more beam lets using patterning masks (150). The mask patterns have suitable dimensions and orientations to pattern the laser beam radiation so that the areas targeted by the beamlets have dimensions and orientations that are conducive to semiconductor recrystallization. The workpiece is mechanically translated along linear paths relative to the laser beam to process the entire surface of the work piece at high speeds. Position sensitive triggering of a laser can be used generate laser beam pulses to melt and recrystallize semiconductor material at precise locations on the surface of the workpiece while it is translated on a motorized stage (180).
    Type: Application
    Filed: August 1, 2013
    Publication date: November 28, 2013
    Applicant: THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK
    Inventor: James S. IM
  • Patent number: 8590173
    Abstract: A microwave source is used in conjunction with a waveguide and microwave window aperture to direct controlled microwave energy into the housing of a filter. The microwave energy will heat and vaporize any fluid present on the filter material, preventing the filter from “wetting” and becoming unusable.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: November 26, 2013
    Inventor: Tobi D. Mengle
  • Patent number: 8592243
    Abstract: A method for forming a buffer layer in a dye-sensitized solar cell including a transparent electrode, a counter electrode, an electrolyte layer disposed between the electrodes, and a photocatalyst film disposed between the electrodes and near the transparent electrode, the buffer layer being disposed between the transparent electrode and photocatalyst film, the method including: forming the buffer layer by sintering a mixed solution of an alcohol solution and 0.03% to 5% by mass of metal alkoxide by laser beam irradiation after applying the mixed solution to the surface of the transparent electrode by spin coating, the transparent electrode being rotated by a rotating table.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: November 26, 2013
    Assignee: Hitachi Zosen Corporation
    Inventors: Takeshi Sugiyo, Tetsuya Inoue
  • Patent number: 8592727
    Abstract: Flash lamps connected to short-pulse circuits and flash lamps connected to long-pulse circuits are alternately arranged in a line. The duration of light emission from the flash lamps connected to the long-pulse circuits is longer than the duration of light emission from the flash lamps connected to the short-pulse circuits. A superimposing of a flash of light with a high peak intensity from the flash lamps that emit light for a short time and a flash of light with a gentle peak from the flash lamps that emit light for a long time can increase the temperature of even a deep portion of a substrate to an activation temperature or more without heating a shallow portion near the substrate surface more than necessary. This achieves the activation of deep junctions without causing substrate warpage or cracking.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: November 26, 2013
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Kenichi Yokouchi
  • Patent number: 8586402
    Abstract: The invention relates to a method for the precision processing of substrates, in particular for the microstructuring of thin layers, local dopant introduction and also local application of a metal nucleation layer in which a liquid-assisted laser, i.e. laser irradiation of a substrate which is covered in the regions to be processed by a suitable reactive liquid, is implemented.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: November 19, 2013
    Assignees: Fraunhofer-Gesellschaft zur föderung der angewandten Forschung e.V., Albert-Ludwigs-Universität Freiburg
    Inventors: Kuno Mayer, Monica Aleman, Daniel Kray, Stefan Glunz, Ansgar Mette, Ralf Preu, Andreas Grohe
  • Patent number: 8586488
    Abstract: A computer program product and system for configuring J electromagnetic radiation sources (J?2) to simultaneously irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I?2) thereon. Pj denotes a same source-specific normally incident energy flux on each stack from source j. For simultaneous exposure of the I stacks to radiation from the J sources, Pj is computed such that an error E being a function of |W1?S1|, |W2?S2|, |WI?SI| is about minimized with respect to Pj (j=1, . . . , J). Wi and Si respectively denote an actual and target energy flux transmitted into the substrate via stack i (i=1, . . . , I). The stacks are exposed to the radiation from the sources characterized by the computed Pj (j=1, . . . , J).
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Publication number: 20130299939
    Abstract: Various embodiments disclosed include methods of performing a double exposure process on a level of an integrated circuit (IC) chip to form an IC chip having an embedded electrically measurable identifier. In some cases, the method includes: exposing a level of an integrated circuit (IC) chip using a first mask orientation; subsequently exposing the level of the IC chip using a second mask orientation distinct from the first mask orientation; and developing the level of the IC chip to form an electrically measurable identifier on the IC chip.
    Type: Application
    Filed: May 11, 2012
    Publication date: November 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yoba Amoah, John J. Ellis-Monaghan, Roger C. Kuo, Molly J. Leitch, Zhihong Zhang
  • Patent number: 8580700
    Abstract: To provide a method for manufacturing a semiconductor device using a method in which a desired position is rapidly subjected to laser irradiation while switching laser irradiation patterns. With respect to an organic memory element having a structure in which an organic compound layer is interposed between a pair of conductive layers, data is written to the organic memory element by laser irradiation using a laser irradiation apparatus. Further, a laser beam emitted from a laser oscillator is split by a diffractive optical element into a plurality of laser beams, thereby irradiating a plurality of portions on the organic compound layer with laser beams by single irradiation.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: November 12, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koichiro Tanaka, Hirotada Oishi
  • Publication number: 20130295780
    Abstract: A method of manufacturing a silicon wafer, an oxygen concentration in a surface layer to be maintained more than a predetermined value while promoting a defect-free layer. Strength of the surface layer can be made higher than that of an ordinary annealed sample as a COP free zone is secured. A method of manufacturing a silicon wafer doped with nitrogen and oxygen, includes growing a single crystal silicon doped with the nitrogen by Czochralski method, slicing the grown single crystal silicon to obtain a single crystal silicon wafer; heat treating the sliced single crystal silicon wafer in an ambient gas including a hydrogen gas and/or an inert gas; polishing the heat treated single crystal silicon wafer, after the heat treatment, such that an obtained surface layer from which COP defects have been removed by the heat treatment is polished away until an outermost surface has a predetermined oxygen concentration.
    Type: Application
    Filed: March 15, 2013
    Publication date: November 7, 2013
    Inventor: Shinya SADOHARA
  • Patent number: 8575043
    Abstract: A semiconductor device includes a plurality of active areas disposed on a semiconductor substrate. A manufacturing method of the semiconductor device includes performing a first annealing process on the semiconductor substrate by emitting a first laser alone a first scanning direction, and performing a second annealing process on the semiconductor substrate by emitting a second laser alone a second scanning direction. The first scanning direction and the second scanning direction have an included angle.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: November 5, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chan-Lon Yang, Tzu-Feng Kuo, Hsin-Huei Wu, Ching-I Li, Shu-Yen Chan
  • Patent number: 8574929
    Abstract: A method to form a monolithic 3D device including: processing a first layer including first mono-crystal transistors; transferring a second mono-crystal layer on top of the first layer including first mono-crystal transistors by using ion-cut layer transfer; and repairing the damage caused by the ion-cut by using optical annealing.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: November 5, 2013
    Assignee: Monolithic 3D Inc.
    Inventors: Zvi Or-Bach, Deepak Sekar, Brian Cronquist
  • Publication number: 20130288487
    Abstract: Provided is a method and system for controlling a spike anneal process on a substrate, comprising selecting one or more objectives, one or more absorbance layers, a technique of modifying absorption of the selected one or more absorbance layers, one or more wavelengths used in a heating device. A substrate modified with the selected technique of modifying absorption is provided. The spike anneal process is performed on the substrate using the selected heating device and selected spike anneal process variables. One or more of the spike anneal process variables, the selected technique of the modifying absorption, the selected one or more wavelengths, and/or the selected heating device are adjusted in order to meet the one or more objectives of the spike anneal process.
    Type: Application
    Filed: October 28, 2012
    Publication date: October 31, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: STEVEN A. SCHEER, MICHAEL CARCASI
  • Publication number: 20130288488
    Abstract: A quartz window with an interior plenum is operable as a shutter or UV filter in a degas chamber by supplying the plenum with an ozone-containing gas. Pressure in the plenum can be adjusted to block UV light transmission into the degas chamber or adjust transmittance of UV light through the window. When the plenum is evacuated, the plenum allows maximum transmission of UV light into the degas chamber.
    Type: Application
    Filed: June 21, 2013
    Publication date: October 31, 2013
    Inventors: Yen-Kun Victor Wang, Shang-I Chou, Jason Augustino
  • Patent number: 8569167
    Abstract: Methods of forming a Ni material on a bond pad are disclosed. The methods include forming a dielectric material over a bond pad, forming an opening within the dielectric material to expose the bond pad, curing the dielectric material to form a surface of the dielectric material having a steep curvilinear profile, and forming a nickel material over the at least one bond pad. The dielectric material having a steep curvilinear profile may be formed by altering at least one of a curing process of the dielectric material and a thickness of the dielectric material. The dielectric material may be used to form a relatively thick Ni material on bond pads smaller than about 50 ?m. Semiconductor structures formed by such methods are also disclosed.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: October 29, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Jaspreet S. Ghandi, Don L. Yates, Yangyang Sun
  • Patent number: 8569187
    Abstract: The present invention generally relates to an optical system that is able to reliably deliver a uniform amount of energy across an anneal region contained on a surface of a substrate. The optical system is adapted to deliver, or project, a uniform amount of energy having a desired two-dimensional shape on a desired region on the surface of the substrate. An energy source for the optical system is typically a plurality of lasers, which are combined to form the energy field.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: October 29, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Moffatt, Douglas E. Holmgren, Samuel C. Howells, Edric Tong, Bruce E. Adams, Jiping Li, Aaron Muir Hunter
  • Patent number: 8569155
    Abstract: The disclosed subject matter generally relates a method of irradiating a large area thin film with a pulsed light source. In some embodiments, the disclosed subject matter particularly relates to utilizing flash lamp annealing in combination with patterning techniques for making thin film devices. The flash lamp annealing can trigger lateral growth crystallization or explosive crystallization in large area thin films. In some embodiments, capping layers or proximity masks can be used in conjunction with the flash lamp annealing.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: October 29, 2013
    Assignee: The Trustees of Columbia University in the City of New York
    Inventor: James S. Im
  • Publication number: 20130280885
    Abstract: A pulsed laser-initiated exfoliation method for patterning a Group III-nitride film on a growth substrate is provided. This method includes providing a Group III-nitride film a growth substrate, wherein a growth substrate/Group III-nitride film interface is present between the Group III-nitride film and the growth substrate. Next, a laser is selected that provides radiation at a wavelength at which the Group III-nitride film is transparent and the growth substrate is absorbing. The interface is then irradiated with pulsed laser radiation from the Group III-nitride film side of the growth substrate/Group III-nitride film interface to exfoliate a region of the Group III-nitride from the growth substrate. A method for transfer a Group-III nitride film from a growth substrate to a handle substrate is also provided.
    Type: Application
    Filed: January 24, 2013
    Publication date: October 24, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Can Bayram, Stephen W. Bedell, Devendra K. Sadana, Katherine L. Saenger
  • Publication number: 20130280922
    Abstract: A method of orienting a semiconductor wafer. The method includes rotating a wafer about a central axis; exposing a plurality of edge portions of the rotating wafer to light having a predetermined wavelength from one or more light sources; detecting a subsurface mark in one of the plurality of edge portions of the rotating wafer; and orienting the wafer using the detected subsurface mark as a reference.
    Type: Application
    Filed: April 18, 2012
    Publication date: October 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chin-Ming LIN, Wan-Lai CHEN, Chia-Hung HUANG, Chi-Ming YANG, Chin-Hsiang LIN
  • Publication number: 20130280924
    Abstract: The disclosed systems and method for non-periodic pulse sequential lateral solidification relate to processing a thin film. The method for processing a thin film, while advancing a thin film in a selected direction, includes irradiating a first region of the thin film with a first laser pulse and a second laser pulse and irradiating a second region of the thin film with a third laser pulse and a fourth laser pulse, wherein the time interval between the first laser pulse and the second laser pulse is less than half the time interval between the first laser pulse and the third laser pulse. In some embodiments, each pulse provides a shaped beam and has a fluence that is sufficient to melt the thin film throughout its thickness to form molten zones that laterally crystallize upon cooling. In some embodiments, the first and second regions are adjacent to each other. In some embodiments, the first and second regions are spaced a distance apart.
    Type: Application
    Filed: May 13, 2013
    Publication date: October 24, 2013
    Inventors: James S. IM, Ui-Jin CHUNG, Alexander B. LIMANOV, Paul C. VAN DER WILT
  • Publication number: 20130280838
    Abstract: Provided is a method for fabricating an array substrate. The method for fabricating the array substrate includes forming a semiconductor layer on a substrate, forming a gate electrode which is insulated from the semiconductor layer, forming source and drain electrodes which are insulated from the gate electrode and connected to the semiconductor layer, and forming a pixel electrode connected to the drain electrode. Here, at least one of the forming of the gate electrode, the forming of the source and drain electrodes, and the forming of the pixel electrode includes forming a conductive layer on the substrate, cooling the substrate on which the conductive layer is formed to a temperature of no greater than about 0° C., heating the cooled substrate, and patterning the conductive layer.
    Type: Application
    Filed: December 17, 2012
    Publication date: October 24, 2013
    Applicant: Samsung Display Co., Ltd.
    Inventors: SANGWON SHIN, Hyunju KANG, Sangwoo SOHN, Sukyoung YANG, Changoh JEONG
  • Publication number: 20130280923
    Abstract: Embodiments of the invention generally relate to apparatus and methods of thermal processing of semiconductor substrates using a pellicle to eliminate contamination of an aperture member. The aperture member is disposed between an energy source and a substrate to be processed. The pellicle may be a thin piece of membrane that is substantially transparent to selected forms of energy, such as pulses of electromagnetic energy from a laser that emits radiation at one or more appropriate wavelengths for a desired period of time. In one embodiment, the pellicle is mounted at a predetermined distance from the aperture member and covering pattern openings (i.e., apertures) formed on the aperture member such that any particle contaminants that may land on the aperture member will land on the pellicle. The pellicle keeps particle contaminants out of focus in the final energy field, thereby preventing particle contaminants from being imaged onto the processed substrate.
    Type: Application
    Filed: March 22, 2013
    Publication date: October 24, 2013
    Inventor: AMIKAM SADE
  • Publication number: 20130273751
    Abstract: Provided are systems and methods for processing the surface of substrates that scan a laser beam at one or more selected orientation angles. The orientation angle or angles may be selected to reduce substrate warpage. When the substrates are semiconductor wafers having microelectronic devices, the orientation angles may be selected to produce controlled strain and to improve electronic performance of the devices.
    Type: Application
    Filed: June 7, 2013
    Publication date: October 17, 2013
    Inventors: Yun Wang, Shaoyin Chen
  • Publication number: 20130273749
    Abstract: A laser annealing apparatus carries out an annealing treatment an amorphous silicon film on a TFT substrate. The apparatus includes: a mask having a plurality of apertures; a microlens substrate having a plurality of microlenses arranged on a surface thereof and configured to focus the plurality of laser beams Lb, that have passed through the respective apertures of the mask, onto the TFT substrate to apply a predetermined energy to the amorphous silicon film; a pair of guides each having a semi-cylindrical shape and disposed along both sides across the microlens substrate so that the axes of the guides are parallel to each other and that the tips of the guides protrude from the positions of tips of the microlenses toward the TFT substrate; and a film that is provided in a tensioned state between the pair of guides so as to be movable and that transmits a laser beam.
    Type: Application
    Filed: June 6, 2013
    Publication date: October 17, 2013
    Inventors: Michinobu MIZUMURA, Yuji SAITO
  • Publication number: 20130273750
    Abstract: Methods for aligning layers more accurately for FinFETs fabrication. An embodiment method includes forming a first pattern in a workpiece using a first photomask, forming a second pattern in the workpiece using a second photomask, the second photomask aligned to the first pattern, and aligning a third pattern to the first and the second patterns by aligning a first feature of the third pattern to a first feature of the first pattern in a first direction, and aligning a second feature of the third pattern to a first feature of the second pattern in a second direction orthogonal to the first direction.
    Type: Application
    Filed: June 7, 2013
    Publication date: October 17, 2013
    Inventors: Ming-Feng Shieh, Kuei-Liang Lu
  • Patent number: 8557669
    Abstract: MOSFETs having localized stressors are provided. The MOSFET has a stress-inducing layer formed in the source/drain regions, wherein the stress-inducing layer comprises a first semiconductor material and a second semiconductor material. A treatment is performed on the stress-inducing layer such that a reaction is caused with the first semiconductor material and the second semiconductor material is forced lower into the stress-inducing layer. The stress-inducing layer may be either a recessed region or non-recessed region. A first method involves forming a stress-inducing layer, such as SiGe, in the source/drain regions and performing a nitridation or oxidation process. A nitride or oxide film is formed in the top portion of the stress-inducing layer, forcing the Ge lower into the stress-inducing layer. Another method embodiment involves forming a reaction layer over the stress-inducing layer and performing a treatment process to cause the reaction layer to react with the stress-inducing layer.
    Type: Grant
    Filed: July 21, 2008
    Date of Patent: October 15, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hao Chen, Pang-Yen Tsai, Chie-Chien Chang, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 8557720
    Abstract: A substrate processing apparatus includes a processing chamber configured to process a substrate having a front surface including a dielectric, a substrate support member provided within the processing chamber to support the substrate, a microwave supplying unit configured to supply a microwave to a front surface side of the substrate supported on the substrate support member; and a conductive substrate cooling unit which is provided at a rear surface side of the substrate supported on the substrate support member and has an opposing surface facing the rear surface of the substrate. A distance between the top of the substrate support member and the opposing surface of the substrate cooling unit corresponds to an odd multiple of ¼ wavelength of the microwave supplied when the substrate is processed.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: October 15, 2013
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Tokunobu Akao, Unryu Ogawa, Masahisa Okuno, Shinji Yashima, Atsushi Umekawa, Kaichiro Minami