Built-in Testing Circuit (bilbo) Patents (Class 714/733)
  • Patent number: 8877525
    Abstract: Mechanisms are provided for chip (e.g., semiconductor chip) identification (e.g., low cost secure identification). In one example, a method of manufacturing for implementing integrated chip identification is provided. In another example, a method of using a chip with an integrated identification is provided.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: November 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Effendi Leobandung, Dirk Pfeiffer
  • Publication number: 20140325300
    Abstract: Provided is a semiconductor device including a test mode circuit capable of changing the semiconductor device into a test mode with fewer malfunctions and without providing a test terminal. The semiconductor device includes a test circuit configured to compare data of a data input terminal and a data output terminal in synchronization with clock, and control whether or not to change the semiconductor device into a test mode in accordance with a result of the comparison.
    Type: Application
    Filed: April 18, 2014
    Publication date: October 30, 2014
    Applicant: Seiko Instruments Inc.
    Inventor: Tomohiro OKA
  • Patent number: 8874983
    Abstract: A test method for an ASIC uses an embedded processor in the ASIC to execute test routines from an embedded memory or an external memory. During ASIC production, the test routines can comprehensively test of the blocks of the ASIC without a complicated test pattern from test equipment. The test routines can also perform power-up tests in systems or end products containing the ASIC. Test selection, activation, and result output can be implemented using a few terminals of the ASIC.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: October 28, 2014
    Assignee: Marvell International Technology Ltd.
    Inventors: Richard D Taylor, Mark D Montierth, Melvin D Bodily, Gary Zimmerman, John D Marshall
  • Patent number: 8872531
    Abstract: A semiconductor device and a test apparatus including the same, the semiconductor device including a command distributor receiving a serial command that is synchronized with a first clock signal and converting the serial command into a parallel command, a command decoder receiving the parallel command and generating a pattern sequence based on the parallel command, and a signal generator receiving the pattern sequence and generating operating signals synchronized with a second clock signal, wherein a frequency of the first clock signal is less than a frequency of the second clock signal.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: October 28, 2014
    Assignees: Samsung Electronics Co., Ltd., Postech Academy Industry Foundation
    Inventors: Ki-jae Song, Ung-jin Jang, Jun-young Park, Sung-gu Lee, Hong-seok Yeon
  • Patent number: 8874982
    Abstract: A system and method for sharing a communications link between multiple protocols is described. A system includes a communications interface configured to exchange information with other systems using at least one of a plurality of protocols; a protocol select register that stores a value that selects a protocol from among the plurality of protocols to become an active protocol; and a state machine accessible to the communications interface, the state machine used to control the exchange of information through the communications interface according to the active protocol. The active protocol is used by the communications interface to exchange information while the remaining protocols of the plurality of protocols remain inactive. The state machine sequences through a series of states that cause the communications interface to operate according to the active protocol, and that are designated as inert sequences under the remaining protocols.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: October 28, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Patent number: 8872833
    Abstract: The present invention systems and methods enable configuration of functional components in integrated circuits. A present invention system and method can flexibly change the operational characteristics of functional components in an integrated circuit die based upon a variety of factors, including if the die has a defective component. An indication of the defective functional component identification is received. A determination is made if the defective functional component is one of a plurality of similar functional components that can provide the same functionality. The other similar components can be examined to determine if they are parallel components to the defective functional component. The defective functional component is disabled if it is one of the plurality of similar functional components and another component can handle the workflow that would otherwise be assigned to the defective component. Workflow is diverted from the disabled component to other similar functional components.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: October 28, 2014
    Assignee: Nvidia Corporation
    Inventors: James M. Van Dyke, John S. Montrym, Michael B. Nagy, Sean J. Treichler
  • Patent number: 8868977
    Abstract: Systems and methods for modeling test space for verifying system behavior, using one or more auxiliary variables, are provided. The method comprises implementing a functional coverage model including: one or more attributes, wherein respective values for the attributes are assigned according to a test plan, and one or more constraints defining restrictions on value combinations assigned to the attributes, wherein the restrictions are Boolean expressions defining whether said value combinations are valid; determining a set of valid value combinations for the attributes that satisfy the restrictions to define the test space for verifying the system behavior; and determining relevant auxiliary variables and a corresponding function for said auxiliary variables to reduce the complexity associated with modeling the test space.
    Type: Grant
    Filed: June 19, 2011
    Date of Patent: October 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Ariel Birnbaum, Rachel Tzoref-Brill, Steven Mittermaier, Itai Erwin Segall, Avi Ziv
  • Patent number: 8862405
    Abstract: A method and system for producing look-ahead profiles measurements includes positioning an energy transmitter, such as a transmitting antenna, proximate to a borehole assembly tool. One or more energy receivers, such as receiving antennas, are positioned along a length of the borehole assembly. Next, energy is transmitted to produce look-ahead scans relative to the borehole assembly tool. Look-ahead graph data with an x-axis being a function of a time relative to the position of the borehole assembly tool is generated. The look-ahead graph is produced and displayed on a display device. The look-ahead graph may track estimated formation values based on earth models. The estimated formation values are displayed below a tool position history line that is part of the look-ahead graph. The estimated formation values in the look-ahead graph may be based on inversions of resistivity data from the look-ahead scans.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: October 14, 2014
    Assignee: Schlumberger Technology Corporation
    Inventors: Jean Seydoux, Andrei I. Davydychev, Denis Heliot, Bennett N. Nicholas
  • Patent number: 8855298
    Abstract: Processing of masked data using table lookups is described. A mask is applied to input data to generate masked input data. The mask and the masked input data are used in combination to locate an entry in a lookup table. The entry corresponds to a transformed version of the input data.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: October 7, 2014
    Assignee: Spansion LLC
    Inventor: Elena Vasilievna Trichina
  • Patent number: 8855962
    Abstract: A system for testing electronic circuits includes first, second, and third standard interfaces. A test port master and a test port slave are connected to an external testing apparatus. The first, second, and third standard interfaces are tested in first, second, and third test modes, respectively. The tests are initiated by asserting a test mode activate and first, second, and third test mode enable signals, respectively, which enable reuse of test patterns across different electronic circuits.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: October 7, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Deepak Jindal
  • Patent number: 8850281
    Abstract: Technologies are generally described for secure digital signatures that employ hardware public physically unclonable functions. Each unique digital signature generator can be implemented as hardware such that manufacturing variations provide measurable performance differences resulting in unique, unclonable devices or systems. For example, slight timing variations through a large number of logic gates may be used as a hardware public physically unclonable function of the digital signature unit. The hardware digital signature unit can be parameterized such that its physical characteristics may be publicly distributed to signature verifiers. The verifiers may then simulate randomly selected portions of the signature for verification.
    Type: Grant
    Filed: May 12, 2009
    Date of Patent: September 30, 2014
    Assignee: Empire Technology Development LLC
    Inventor: Miodrag Potkonjak
  • Patent number: 8850279
    Abstract: An architecture for testing a plurality of circuits on an integrated circuit is described. The architecture includes a TAP Linking Module located between test pins on the integrated circuit and 1149.1 Test Access Ports (TAP) of the plurality of circuits to be tested. The TAP Linking Module operates in response to 1149.1 scan operations from a tester connected to the test pins to selectively switch between 1149.1 TAPs to enable test access between the tester and plurality of circuits. The TAP Linking Module's 1149.1 TAP switching operation is based upon augmenting 1149.1 instruction patterns to affix an additional bit or bits of information which is used by the TAP Linking Module for performing the TAP switching operation.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: September 30, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Baher S. Haroun, Lee D. Whetsel
  • Patent number: 8850282
    Abstract: A verifying device includes a receiving unit operable to receive data recorded on a recording medium and a verifying unit operable to verify the received data. The verifying unit sets a predetermined first range and a second range that includes a plurality of the first ranges on the received data, performs first verification about an error on data included in the first range out of the received data, performs second verification about an error on data included in the second range out of the received data on the basis of a result of the first verification, and determines whether the recorded data is successfully recorded on the recording medium on the basis of the results of the first and second verification.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: September 30, 2014
    Assignee: Panasonic Corporation
    Inventors: Kengo Yasumura, Naoki Fujimoto, Hiroyuki Awano, Yasuhiro Sasano
  • Patent number: 8843797
    Abstract: A method for detecting unstable signatures when testing a VLSI chip that includes adding to an LFSR one or more save and restore registers for storing an initial seed consisting of 0s and 1s; loading the initial seed into the LFSR and one or more save and restore registers; initializing a MISR and running test loops. Upon reaching a predetermined number of test loops, moving a signature of the MISR to a shadow register; then, performing a signature stability test by loading the initial seed to the LFSR; executing the predetermined number of BIST test loops, and comparing a resulting MISR signature for differences versus a previous signature stored in a MISR save and restore register, wherein unloading is performed by way of serial MISR unloads and single bit XORs.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: September 23, 2014
    Assignee: International Business Machines Corporation
    Inventors: Franco Motika, Raymond J. Kurtulik, John D. Parker
  • Publication number: 20140281778
    Abstract: A processing system includes a clock generator circuit configured to receive a master clock signal and to output a plurality of clock signals, wherein the plurality of clock signals have a first frequency during a built-in self-test (BIST) mode and a plurality of shift-capture clock generator circuits. Each shift-capture clock generator circuit includes a clock gate circuit and a clock divider circuit and is configured to receive a corresponding one of the plurality of clock signals. At least one of the clock divider circuits changes the first frequency of the one of the plurality of clock signals to a second frequency during the BIST mode.
    Type: Application
    Filed: August 14, 2013
    Publication date: September 18, 2014
    Inventors: Nisar Ahmed, Anurag Jindal, Nipun Mahajan
  • Patent number: 8829940
    Abstract: The present invention discloses a method of testing a partially assembled multi-die device (1) by providing a carrier (300) comprising a device-level test data input (12) and a device-level test data output (18); placing a first die on the carrier, the first die having a test access port (100c) comprising a primary test data input (142), a secondary test data input (144) and a test data output (152), the test access port being controlled by a test access port controller (110); communicatively coupling the secondary test data input (144) of the first die to the device-level test data input (12), and the test data output (152) of the first die to the device-level test data output (18); providing the first die with configuration information to bring the first die in a state in which the first die accepts test instructions from its secondary test data input (144); testing the first die, said testing including providing the secondary test data input (144) of the first die with test instructions through the device-
    Type: Grant
    Filed: September 26, 2009
    Date of Patent: September 9, 2014
    Assignee: NXP, B.V.
    Inventors: Fransciscus Geradus Marie de Jong, Alexander Sebastian Biewenga
  • Patent number: 8832511
    Abstract: A device includes a first die coupled to an interconnect structure of an interposer. The first die includes a first BIST circuit configured to generate and output test signals to the interconnection structure of the interposer. A second die is coupled to the interconnect structure of the interposer and includes a second BIST circuit configured to receive signals from the interconnection structure of the interposer in response to the first BIST circuit transmitting the test signals. The second BIST circuit is configured to compare the signals received from the interconnection structure of the interposer to reference signals generated by the second BIST circuit.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ji-Jan Chen, Nan-Hsin Tseng, Chin-Chou Liu
  • Patent number: 8832529
    Abstract: The device for testing a memory of an electric tool has a control unit, a testing module, a buffer memory and an address translator. The control unit is coupled to the memory and configured to control the electric tool. The testing module is coupled to the memory and configured to test a specific memory cell from among a plurality of memory cells of the memory. The buffer memory is configured to provide temporary storage of the data that is stored in the specific memory cell during the testing of the specific memory cell. The address translator is configured to translate the address of the specific memory cell to the address of the buffer memory during the testing of the specific memory cell. A tool and method are also provided.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: September 9, 2014
    Assignee: Hilti Aktiengesellschaft
    Inventor: Wolfgang Beck
  • Patent number: 8819510
    Abstract: An address and command port interface selectively enables JTAG TAP domain operations and Trace domain operations within an IC. The port carries TMS and TDI input and TDO output on a single pin and receives a clock signal on a separate pin. The addressable two pin interface loads and updates instructions and data to the TAP domain within the IC. The instruction or data update operations in multiple ICs occur simultaneously. A process transmits data from an addressed target device to a controller using data frames, each data frame comprising a header bit and data bits. The logic level of the header bit is used to start, continue, and stop the data transmission to the controller. A data and clock signal interface between a controller and multiple target devices provides for each target device to be individually addressed and commanded to perform a JTAG or Trace operation.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: August 26, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8819507
    Abstract: A system and method for designing a field programmable gate array (FPGA) with built-in test mechanism includes several enhancements to traditional circular self-test path (CSTP) BIST architecture. The FPGA BIST scheme isolates primary inputs and primary outputs to improve test coverage. Multiple signature output taps are inserted at CSTP registers throughout the test path to help improve signature aliasing probability. Enhanced CSTP register selection algorithms help prevent register adjacency problems and optimize overall resource utilization for implementation. Multiple clock domains are also handled by the FPGA BIST to allow full chip implementation of the FPGA BIST.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: August 26, 2014
    Assignee: Raytheon Company
    Inventors: Howard K. Luu, Jackson Y. Chia
  • Patent number: 8819509
    Abstract: An integrated circuit includes a storing unit; and a tester that executes a write and read test on the storing unit based on received test information including a pair of address and data, the tester including: a first retain unit that retains, when a write is made based on the test information, the first write address and the first write data used in the write; a first generator that generates, based on the first write address retained in the first retain unit, a first read address used for reading first read data from the first read address in the storing unit simultaneously with writing second write data to a second write address based on the test information; and a second generator that generates, based on the first write data retained in the first retain unit, an expected value of the first read data.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: August 26, 2014
    Assignee: Fujitsu Limited
    Inventors: Masahiro Yanagida, Hiroyuki Fujimoto
  • Patent number: 8813019
    Abstract: A method includes reading, through a processor of a computing device communicatively coupled to a memory, a design of an electronic circuit as part of verification thereof. The method also includes extracting, through the processor, a set of optimized instructions of a test algorithm involved in the verification such that the set of optimized instructions covers a maximum portion of logic functionalities associated with the design of the electronic circuit. Further, the method includes executing, through the processor, the test algorithm solely relevant to the optimized set of instructions to reduce a verification time of the design of the electronic circuit.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: August 19, 2014
    Assignee: NVIDIA Corporation
    Inventors: Avinash Rath, Sanjith Sleeba, Ashish Kumar
  • Publication number: 20140229782
    Abstract: Embodiments of the present invention provide an automatic test equipment. The automatic test equipment is configured to receive an input signal from a device under test and to write an information describing the input signal to a memory. The automatic test equipment is further configured to read the information describing the input signal from the memory and to provide an output signal for the device under test based on the information describing the input signal read from the memory.
    Type: Application
    Filed: April 22, 2014
    Publication date: August 14, 2014
    Inventors: Jochen Rueter, Simone Rehm, Joerg-Walter Mohr, Frank Hensel
  • Patent number: 8803716
    Abstract: A chip with a built-in self-test (BIST) component capable of testing the linearity of an ADC is described herein. The BIST component uses hardware registers to facilitate a sliding histogram technique to save space on the chip. A subset of detected digital codes are analyzed, and DNL and INL calculations are performed by a controller to determine whether any of the digital codes in the subset exceed maximum or minimum DNL and INL thresholds. New digital codes being detected by the ADC are added to the subset as lower-value digital codes are pushed out of the subset, maintaining the same number of digital codes being analyzed as the subset moves from lower codes detected during lower voltages to higher codes detected at higher voltages. A synchronizer and pointer ensure that the subset moves through the digital codes at the same rate as the analog input ramp source.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: August 12, 2014
    Assignee: STMicroelectronics International N.V.
    Inventors: Ravindranath Ramalingaiah Munnan, Raghu Ravindran, Ravi Shekhar
  • Patent number: 8799730
    Abstract: Semiconductor devices configured to test connectivity of micro bumps including one or more micro bumps and a boundary scan test block for testing connectivity of the micro bumps by scanning data input to the micro bumps and outputting the scanned data. The semiconductor device may include a first chip including solder balls and at least one or more switches electrically coupled with the respective solder balls, and a second chip stacked on top of the first chip and electrically coupled with the switches in direct access mode, including micro bumps that input/output signals transmitted from/to the solder balls.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: August 5, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chi-sung Oh, Jung-sik Kim, Ho-cheol Lee, Jung-bae Lee
  • Patent number: 8793659
    Abstract: A method and system for phase-based testing of an operating system. The method may include detecting a failure when running a test of an operating system, identifying a phase in which the failure has occurred, and reporting the failure to a user, indicating the identified phase in which the failure has occurred.
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: July 29, 2014
    Assignee: Red Hat, Inc.
    Inventors: Petr Muller, Ondrej Hudlicky, Petr Splichal, Ales Zelinka, Jan Huta{hacek over (r)}
  • Patent number: 8793545
    Abstract: A method and apparatus for detecting clock glitches during at-speed testing of integrated circuits is disclosed. In one embodiment, an integrated circuit includes a scan chain having a number of scan elements coupled in a series configuration. Each of the scan elements is coupled to receive a clock signal that may be cycled during a test operation. A subset of the scan elements are arranged to form, along with other components, a counter. Test stimulus data shifted into the scan chain to perform a test may include an initial count value that is shifted into the scan elements of the counter. When the test is performed, the count value is updated responsive to cycling of the clock signal. The updated count value is shifted from the counter along with other test result data, and may be used to determine if the number of clock cycles received during the test.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: July 29, 2014
    Assignee: Apple Inc.
    Inventors: Ravi K. Ramaswami, Samy R. Makar, Anh T. Hoang
  • Patent number: 8793547
    Abstract: Techniques and mechanisms are provided for an improved built in self-test (BIST) mechanism for 3D assembly defect detection. According to an embodiment of the present disclosure, the described mechanisms and techniques can function to detect defects in interconnects which vertically connect different layers of a 3D device, as well as to detect defects on a 2D layer of a 3D integrated circuit. Additionally, according to an embodiment of the present disclosure, techniques and mechanisms are provided for determining not only the presence of a defect in a given set of interfaces of an integrated circuit, but the particular interface at which a defect may exist.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: July 29, 2014
    Assignee: Altera Corporation
    Inventors: Siang Poh Loh, Chooi Pei Lim
  • Patent number: 8788895
    Abstract: A system for testing an integrated circuit including components for receiving clock signals corresponding to different clock domains includes a pin of the integrated circuit to receive a test clock signal for components included in different clock domains, clock gating cells integrated in the integrated circuit to direct said test clock signal from the pin towards components included in respective clock domains and, coupled to each of the gating cells, a dedicated flip-flop for a respective clock domain, the dedicated flip-flop being also integrated in the integrated circuit to effect on the cell to which it is coupled a clock gating function during testing of the integrated circuit.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: July 22, 2014
    Assignees: STMicroelectronics S.r.l., STMicroelectronics (Rousset) SAS
    Inventors: Nelly Feldman, Stefano Catalano
  • Patent number: 8782468
    Abstract: Methods and apparatus relating to debugging complex multi-core and/or multi-socket systems are described. In one embodiment, a debug controller detects an event corresponding to a failure in a computing system and transmits data corresponding to the event to one of the other debug controllers in the system. Other embodiments are also disclosed and claimed.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: July 15, 2014
    Assignee: Intel Corporation
    Inventors: Binata Bhattacharyya, Jayakrishna Guddeti, Keshavan K. Tiruvallur
  • Patent number: 8782480
    Abstract: An on-chip functional debugger includes one or more functional blocks each providing one or more functional outputs. A hierarchical selection tree is formed by one or more selectors having the output of one of the selectors as a final output and individual selector inputs coupled either to a functional output from the functional blocks or to an output of another selector. A selection signal coupled to the select input of each of the selectors to enable a selected one of its output. An output node coupled to the final output. A method of providing on-chip functional debugging is also provided. A desired functional output from one or more available functional outputs is selected and then the selected functional output is coupled to an output node.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: July 15, 2014
    Assignee: STMicroelectronics International N.V.
    Inventor: Parul Bansal
  • Patent number: 8775881
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: July 8, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Joe M. Jeddeloh
  • Patent number: 8775884
    Abstract: A position-based scheduling capability supports interaction between one or more user applications and a scheduler for performing testing via a scan chain of a unit under test. The scheduler receives access requests from one or more user applications, where each access request is a request for access to a segment of the scan chain, respectively. The scheduler determines scheduling of the access requests using a circuit model configured to represent an ordering of the segments of the scan chain. The scheduler may provide the access responses to the user application(s) from which the access requests are received, thereby enabling the user application(s) to issue test operations toward a processor configured to generate test data to be applied to the scan chain. The scheduler may obtain the test operations and send the test operations toward a processor configured to generate test data to be applied to the scan chain.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: July 8, 2014
    Assignee: Alcatel Lucent
    Inventors: Michele Portolan, Bradford Van Treuren, Suresh Goyal
  • Publication number: 20140189456
    Abstract: Techniques and mechanisms are provided for an improved built in self-test (BIST) mechanism for 3D assembly defect detection. According to an embodiment of the present disclosure, the described mechanisms and techniques can function to detect defects in interconnects which vertically connect different layers of a 3D device, as well as to detect defects on a 2D layer of a 3D integrated circuit. Additionally, according to an embodiment of the present disclosure, techniques and mechanisms are provided for determining not only the presence of a defect in a given set of interfaces of an integrated circuit, but the particular interface at which a defect may exist.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 3, 2014
    Applicant: Altera Corporation
    Inventor: Altera Corporation
  • Patent number: 8769360
    Abstract: Exemplary embodiments include a sequential and concurrent status detection and evaluation method for multiple processor cores, including receiving data from a plurality of processor cores, for each of the plurality of processor cores, simultaneously running a built-in self test to determine if each of the plurality of cores has failed, checking the data for a dominant logic state and recording a subset of the plurality of processor cores that have failed.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Franco Motika, John D. Parker
  • Patent number: 8762801
    Abstract: A system includes a first device, a first storage element, a comparator and a second device. The first device is configured to test memory cells in an array of memory cells to detect defective memory cells. The defective memory cells include a first memory cell and a second memory cell. The first storage element is configured to store a first address of the first memory cell. The comparator is configured to compare a second address of the second memory cell to the first address.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: June 24, 2014
    Assignee: Marvell International Ltd.
    Inventors: Winston Lee, Albert Wu, Chorng-Lii Liou
  • Publication number: 20140164861
    Abstract: A circuit having a component for signal recovery, such as an adaptive equalizer, may be tested in order to ensure that the component operates properly. Unfortunately, external test equipment may be expensive and prone to being damaged. According to an aspect of the disclosure, there is provided a circuit including BIST (Built-in Self-Test) circuitry for testing a component for signal recovery with a stress signal that simulates an imperfect signal received over a communication channel. The circuit also has a detector for determining whether the component is operating properly with the stress signal. Thus, no external test equipment is needed for testing the component. In some implementations, the BIST circuitry includes a low-pass filter for filtering a transmit signal into the stress signal. Thus, the amount of circuitry involved in generating the stress signal can be reduced.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 12, 2014
    Applicant: Cortina Systems, Inc.
    Inventor: Brian Wall
  • Patent number: 8751887
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Grant
    Filed: June 4, 2013
    Date of Patent: June 10, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8751882
    Abstract: In a first embodiment a Test Access Port (TAP) of IEEE standard 1149.1 is allowed to commandeer control from a Wrapper Serial Port (WSP) of IEEE standard P1500 such that the P1500 architecture, normally controlled by the WSP, is rendered controllable by the TAP. In a second embodiment (1) the TAP and WSP based architectures are merged together such that the sharing of the previously described architectural elements are possible, and (2) the TAP and WSP test interfaces are merged into a single optimized test interface that is operable to perform all operations of each separate test interface. One approach provides for the TAP to maintain access and control of the TAP instruction register, but provides for a selected data register to be accessed and controlled by either the TAP+ATC (Auxiliary Test Control bus) or by the discrete CaptureDR, UpdateDR, TransferDR, ShiftDR, and ClockDR WSP data register control signals.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: June 10, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8751885
    Abstract: A repair control circuit and a semiconductor integrated circuit using the same, which can reduce test time, are provided. The semiconductor integrated circuit includes a plurality of memory blocks in which a plurality of word lines are arranged, a plurality of word line drivers driving one or more of the plurality of word lines in response to a plurality of memory block selection signals, and a repair control circuit determining whether to perform a repair through comparison of repair addresses generated in response to surplus addresses and the plurality of memory block selection signals with external addresses.
    Type: Grant
    Filed: September 3, 2012
    Date of Patent: June 10, 2014
    Assignee: SK Hynix Inc.
    Inventor: Jung Taek You
  • Patent number: 8751886
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Grant
    Filed: June 4, 2013
    Date of Patent: June 10, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8742779
    Abstract: A semiconductor device includes a first CPU, a second CPU having a configuration that is the same as or comparable to a configuration of the first CPU, and a comparator that compares an output of the first CPU with an output of the second CPU. The second CPU is made so as to have a lower operating margin than the first CPU. By supplying a same signal to the first CPU and the second CPU and then detecting a mismatch between the outputs of the first CPU and the second CPU as a result of comparison, the abnormality is predicted. The semiconductor device includes a reset control circuit that resets the device when the result of comparison by the comparator indicates an error.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: June 3, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Atsushi Takahashi, Hiroyuki Kii
  • Patent number: 8745456
    Abstract: An integrated circuit carries an intellectual property core. The intellectual property core includes a test access port 39 with test data input leads 15, test data output leads 13, control leads 17 and an external register present, ERP lead 37. A scan register 25 encompasses the intellectual property core and ERP lead 37 carries a signal indicating the presence of the scan register.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: June 3, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8745455
    Abstract: In one embodiment, the present invention is directed to a logic analyzer such as may be implemented on a system-on-chip or another semiconductor device. The analyzer can include multiple lanes each having a filter to receive and filter debug data, a compressor to compress the debug data passed by the filter, a buffer, and a controller to store the compressed debug data into the buffer, where the compressed debug data can be stored without timing information. Other embodiments are described and claimed.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: June 3, 2014
    Assignee: Intel Corporation
    Inventors: Ruben Ramirez, Michael J. Wiznerowicz, Sean T. Baartmans, Jason G. Sandri
  • Publication number: 20140149817
    Abstract: A semiconductor chip and method for diagnostic testing of combinational logic in a logic and array system including Logic Built in Self Test (LBIST) diagnostics are provided. The semiconductor chip includes a logic and array system, an LBIST system, a clocking module, and an addressing module. The method for diagnostic testing includes providing an initialization pattern to an array in the logic and array system, applying a diagnostic control setup, and running the diagnostic test. The diagnostic control setup includes firing a clock every diagnostic test clock cycle and selecting an address from a subset of an address space.
    Type: Application
    Filed: November 27, 2012
    Publication date: May 29, 2014
    Applicant: International Business Machines Corporation
    Inventors: Chad A. Adams, Derick G. Behrends, Todd A. Christensen, Elizabeth L. Gerhard, Michael W. Harper, Jesse D. Smith
  • Publication number: 20140149818
    Abstract: A semiconductor chip and method for diagnostic testing of combinational logic in a logic and array system including Logic Built in Self Test (LBIST) diagnostics are provided. The semiconductor chip includes a logic and array system, an LBIST system, a clocking module, and an addressing module. The method for diagnostic testing includes providing an initialization pattern to an array in the logic and array system, applying a diagnostic control setup, and running the diagnostic test. The diagnostic control setup includes firing a clock every diagnostic test clock cycle and selecting an address from a subset of an address space.
    Type: Application
    Filed: March 6, 2013
    Publication date: May 29, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Patent number: 8738978
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises a plurality of scan chains, including at least one wrapper cell scan chain arranged between first and second circuitry cores of the additional circuitry, with the wrapper cell scan chain comprising a plurality of wrapper cells and being configurable to operate as a serial shift register in a scan shift mode of operation. At least one of the wrapper cells of the wrapper cell scan chain comprises a flip-flop having a throughput data path that is part of a scan shift path of the wrapper cell scan chain and not part of a functional path between the first and second circuitry cores. In an HDD controller embodiment, the first and second circuitry cores may comprise respective read channel and additional cores of a system-on-chip.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: May 27, 2014
    Assignee: LSI Corporation
    Inventors: Ramesh C. Tekumalla, Partho Tapan Chaudhuri, Priyesh Kumar, Komal N. Shah
  • Patent number: 8726114
    Abstract: Systems, methods, and other embodiments associated with at-speed testing of static random access memory (SRAM) are described. In one embodiment, a method includes loading, into a multi-stage pipeline of memory devices, a control pattern for testing a static random access memory (SRAM). The SRAM is tested by generating a test input that is based, at least in part, on the control pattern from the multi-stage pipeline of flip-flops. The test input is provided to the SRAM over a series of clock cycles that are at a core clock speed of the SRAM.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: May 13, 2014
    Assignee: Oracle International Corporation
    Inventors: Ali Vahidsafa, Sriram Anandakumar, Gaurav Agarwal
  • Patent number: 8723539
    Abstract: A test card includes a power interface, a controller, a test interface, and a test point. The test interface includes a power pin, a start pin, and a data signal pin. The power interface is connected to the controller and the power pin, and also connected to an external power to receive a work voltage. The controller transmits a turn-on signal to the start pin. The test point is connected to the data signal pin. When an interface of a motherboard is connected to the test interface, the power pin, the start pin, and the data signal pin are connected to corresponding pins of the interface of the motherboard. The motherboard outputs a data signal to the test point through the motherboard interface and the test interface after the controller receives the turn-on signal.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: May 13, 2014
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Xiao-Gang Yin, Wan-Hong Zhang, Zhao-Jie Cao, Guo-Yi Chen
  • Patent number: 8726111
    Abstract: IEEE 1149.1 Test Access Ports (TAPs) may be utilized at both IC and intellectual property core design levels. TAPs serve as serial communication ports for accessing a variety of embedded circuitry within ICs and cores including; IEEE 1149.1 boundary scan circuitry, built in test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation circuitry, and IEEE P1532 in-system programming circuitry. Selectable access to TAPs within ICs is desirable since in many instances being able to access only the desired TAP(s) leads to improvements in the way testing, emulation, and programming may be performed within an IC. A TAP linking module is described that allows TAPs embedded within an IC to be selectively accessed using 1149.1 instruction scan operations.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: May 13, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Lee D. Whetsel, Baher S. Haroun, Brian J. Lasher, Anjali Vij