Structural (in-circuit Test) Patents (Class 714/734)
  • Patent number: 7152012
    Abstract: A four point measurement technique for testing programmable impedance drivers such as the BZIO buffers contained in RapidChip® and ASIC devices. Specifically, two test pads are added for taking voltage measurements at additional points. By taking the additional voltage measurements and performing some calculation using Ohm's law, the error components of the testing process are effectively eliminated. The technique is suitable for use at wafer sort where additional device pads can be made available for contact with the automated test equipment (ATE) used in the manufacturing test environment.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: December 19, 2006
    Assignee: LSI Logic Corporation
    Inventor: Kevin Gearhardt
  • Patent number: 7149943
    Abstract: A flexible Boundary Scan test system is disclosed. The system includes an interpreter module operable to execute a program element selected from a plurality of program elements that include at least one instruction type having an interface to identify and execute selected functions wherein each of the selected functions has associated therewith at least one data information item. In one aspect of the invention, selected ones of the functions are composed of a plurality of functions. In another aspect of the invention, the instruction includes parameters and adornments for determining the selected function execution.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: December 12, 2006
    Assignee: Lucent Technologies Inc.
    Inventors: Bradford G. Van Treuren, Jose M. Miranda, Paul J. Wheatley
  • Patent number: 7143325
    Abstract: The invention provides a test device for testing circuit units (101a–101n) to be tested, having connecting units (106a–106n) for connecting the circuit units (101a–101n) to be tested to the test device, a test system (100) and an output unit (108) for outputting test result data, the test device having a determining unit (103) for determining those of the measurement data (110a–101n) which correspond for a predeterminable number of circuit units (101a–101n) to be tested, and for defining the corresponding measurement data (110a–110n) as the expected data (111); and comparison units (104a–104n) for comparing the measurement data (110a–110n) generated by the circuit units (101a–101n) to be tested in a manner dependent on the test data (112) written in with the expected data (111) in order to obtain comparison data (115a–115n).
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: November 28, 2006
    Assignee: Infineon Technologies AG
    Inventor: Erwin Thalmann
  • Patent number: 7139953
    Abstract: Integrated circuit with an application circuit (1) to be tested, and a self-test circuit (5-16) which is provided for testing the application circuit (1) and comprises an arrangement (5-9) for generating desired test patterns which are applied to the application circuit (1) for test purposes, wherein the output signals occurring in dependence upon the test patterns through the application circuit (1) are evaluated by means of a signature register (13), the arrangement (5-9) for generating the desired test patterns comprising a bit modification circuit (9) which individually controls first control inputs of combination logics (6, 7, 8) in such a way that a pseudo-random sequence of test patterns supplied by a shift register is modified such that, by approximation, the desired test patterns are obtained, and which controls second control inputs of the combination logics (6, 7, 8), by means of which the first control inputs can be blocked, such that those test patterns that are supplied by the shift register (5)
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: November 21, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Friedrich Hapke
  • Patent number: 7131047
    Abstract: A test system includes a device under test and a test circuit board. The device under test includes a plurality of contacts configured to provide output signals. The test circuit board may convey the output signals from the device under test to an analyzer. The test circuit board may include a dielectric layer, a via extending through the dielectric layer, a conductor formed on the dielectric layer and a resistive annular ring having a predetermined resistance value. The resistive annular ring may be formed around the via and may be electrically coupled between the via and the conductor.
    Type: Grant
    Filed: April 7, 2003
    Date of Patent: October 31, 2006
    Assignee: Sun Microsystems, Inc.
    Inventors: Edward Hugh Welbon, Roy Stuart Moore
  • Patent number: 7124341
    Abstract: Special test circuitry in an IC for wafer level testing selectively connects the specialized test circuitry to the functional circuitry during wafer test. Following wafer test the special test circuitry is electrically isolated from the functional circuitry and power supplies such that it does not load functional circuit signals nor consume power.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: October 17, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Richard L. Antley, Lee D. Whetsel
  • Patent number: 7117414
    Abstract: An identifier is provided for an integrated circuit with a memory composed of a multiplicity of memory cells. The circuit has a manufacture-related memory cell defect pattern formed of defective memory cells. The method of identifying the integrated circuit utilizes the memory cell defect pattern to generate a circuit identification number for identifying the integrated circuit.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: October 3, 2006
    Assignee: Infineon Technologies AG
    Inventor: Ralf Hartmann
  • Patent number: 7107362
    Abstract: Embodiments of the present invention provide an integrated circuit. In one embodiment, the integrated circuit comprises logic blocks, a measurement circuit and a control circuit. The measurement circuit is configured to measure operating parameters of the integrated circuit and the logic blocks and provide operating parameter data. The control circuit is configured to receive the operating parameter data, evaluate the operating parameter data to obtain configuration data and configure the integrated circuit with the configuration data.
    Type: Grant
    Filed: May 19, 2003
    Date of Patent: September 12, 2006
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: Thomas Omega Wheless, Jr., Richard David Taylor, Douglas Gene Keithley
  • Patent number: 7096398
    Abstract: The invention includes an integrated circuit. The integrated circuit includes a test controller, at least one logic unit controller, and a test bus connected between the test controller and the logic unit controller. A design for test feature is connected to the logic unit controller. Moreover, a logic unit can be connected to the design for test feature.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: August 22, 2006
    Assignee: Intel Corporation
    Inventor: Aditya Mukherjee
  • Patent number: 7089472
    Abstract: A circuit for testing a chip. The chip has an intellectual product circuit module, and the circuit has a multiplexer controller, several registers and a MUX finite state machine controller to configure these registers in different states according to the test patterns. In the next state, a test activating signal is provided to the intellectual product circuit module. The intellectual product circuit module is then operated and tested according to the output of the registers.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: August 8, 2006
    Assignee: VIA Technologies, Inc.
    Inventors: Ko-Yan Shih, Ming-Hsun Hsu
  • Patent number: 7085979
    Abstract: A voltage-glitch detection circuit includes a voltage comparator having two input terminals with different capacitance resistance charge/discharge time. Voltage dividers are coupled to the two input terminals of the voltage comparator respectively, and commonly receive a supply voltage. One of the voltage dividers is supplied to the voltage comparator as a reference voltage of the voltage comparator, and the other is supplied as a glitch detection voltage to the voltage comparator.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: August 1, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chan-Yong Kim, Sang-Joo Jun, Eui-Seung Kim
  • Patent number: 7080302
    Abstract: The present invention provides a test system for a semiconductor device, the test system comprising: a test data generator for generating test data, the test data generator being provided in an output section; a delay circuit for, in order to use as expected-value data the test data after the test data is transferred through inside a chip, adjusting a time difference between the test data and the expected-value data; a comparator for, against the expected-value data, comparing and verifying the test data after the test data is transferred outside the chip, the comparator being provided in an input section; and an external wiring for connecting an output pin connected to the test data generator and an input pin connected to the comparator.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: July 18, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Ryoichi Takagi
  • Patent number: 7076710
    Abstract: Method and system for testing a memory array having a non-uniform binary address space. The test system includes a test engine for generating addresses for the memory array and for generating and applying data patterns to the memory array. The test engine has an address generator including a series combination of a linear register and a binary counter for generating the non-uniform address.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: July 11, 2006
    Assignee: International Business Machines Corporation
    Inventors: Thomas J. Knips, Tom Y. Chang, James W. Dawson, Douglas J. Malone
  • Patent number: 7055079
    Abstract: A liquid crystal display driving circuit, verifying apparatus and error tolerance method is disclosed. The liquid crystal display driving circuit has a plurality of driving stages each having a plurality of verifying apparatus, a logic operation unit and a driving switch. Each verifying apparatus comprises a storage unit, a data switch and an edge detector. The storage unit receives a first and a second trigger pulse during a first and a second time period and then outputs a first and a second shifted signal that correspond to the first and the second triggered pulse submitted to the storage unit. The first and the second shifted signal are transferred to a first and a second output path through switching the data switch during the first and the second time period. The edge detector receives the first shifted signal and set the second output path to a pre-defined logic potential during the second time period if no edge transition is detected during the first time period.
    Type: Grant
    Filed: September 1, 2003
    Date of Patent: May 30, 2006
    Assignee: Au Optronics Corporation
    Inventor: Shi-Hsiang Lu
  • Patent number: 7055045
    Abstract: Mode detection circuitry includes first detection circuitry which detects the presence of a first input signal selectively presented at a first terminal for a first selected time duration and, in response, selectively generating a first control signal indicative of a first mode. Second detection circuitry detects the presence of a second input signal selectively presented at a second terminal for a second selected time duration and, in response, selectively generating a second control signal indicative of a second mode. Control circuitry configures the second terminal as an output terminal in the first mode and as an input terminal in the second mode in response to the first and second control signals.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: May 30, 2006
    Assignee: Cirrus Logic, Inc.
    Inventors: Johann G. Gaboriau, Xiaofan Fei
  • Patent number: 7055078
    Abstract: A processor-based device includes a processor, a trace module, a plurality of data input/output pins, and an input/output interface circuit. The input/output interface circuit, when operating in a trace mode, externally outputs trace data signals from the trace module to an external device via at least one of the data input/output pins. When in a normal mode, the input/output interface circuit transfers data from the processor core to the data input/output pins and transfers data received at the data input/output pins to the processor core. In this manner, the processor-based device according to the present invention can output trace data using normal data input/output pins without the need for additional pins for outputting the trace data.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventor: Jung-Mi Kwon
  • Patent number: 7036064
    Abstract: A circuit is disclosed for testing memories using multiple built-in self test (BIST) controllers embedded in an integrated circuit (IC). The BIST controllers are brought to a synchronization point during the memory test by allowing for a synchronization state. An output signal from an output pin on the IC indicates the existence of a synchronization state to automated test equipment (ATE). After an ATE receives the output signal, it issues a resume signal through an IC input pin that causes the controllers to advance out of the synchronization state. The ATE controls the synchronization state length by delaying the resume signal. Synchronization states can be used in parametric test algorithms, such as for retention and IDDQ tests. Synchronization states can be incorporated into user-defined algorithms by software design tools that generate an HDL description of a BIST controller operable to apply the algorithm with the synchronization state.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: April 25, 2006
    Inventors: Omar Kebichi, Wu-Tung Cheng, Christopher John Hill, Paul J. Reuter, Yahya M. Z. Mustafa
  • Patent number: 7036062
    Abstract: A design for test focused tester has a single printed circuit board tester architecture. By focusing on design for test testing and eliminating functional testing, the design for test focused tester reduces or eliminates requirements for high speed, precision signal formatting and timing circuitry that require a multiple board architecture interconnected via a high speed backplane. The single board architecture places a vector sequencer and vector memory close to the device under test, which provides short, consistent signal paths to the device and eliminates the need for dead cycles and synchronization between tester boards.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: April 25, 2006
    Assignee: Teseda Corporation
    Inventors: Steven R. Morris, Ajit M. Limaye, Andrew H. Levy, David S. Kellerman
  • Patent number: 7036061
    Abstract: A set of levels generating circuits, such as a set of digital-to-analog converters, is designed into an integrated circuit on-die. The levels generating circuits apply direct current (DC) voltage levels to on-die sense amplifiers to test sense amplifier trip points for “input low voltage” (VIL) and “input high voltage” (VIH). The levels generating circuits are controlled by a set of configuration bits, which may be accessible through the boundary-scan register or the input/output (I/O) loop back pattern generator. The levels generating circuitry allows testing of one number of integrated circuit input pins using a smaller number of input pins.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventor: Ali Muhtaroglu
  • Patent number: 7032150
    Abstract: In a method of measuring group delay (Tgd) of a device under test, an analog input signal having a predetermined period (T) is provided to the device under test so as to obtain a delayed output signal from the device under test. A phase difference is detected between first and second digital signals converted from the analog input signal and the delayed output signal, respectively. A current (I) corresponding to the phase difference flows through a circuit having a predetermined resistance (R) so as to result in a potential difference (?V). As such, the group delay (Tgd) of the device under test is determined as a function of the predetermined period (T), the current (I), the predetermined resistance (R), and the potential difference (?V). An apparatus for measuring the group delay (Tgd) of the device under test is also disclosed.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: April 18, 2006
    Assignee: Mediatek Inc.
    Inventors: Ching-Shan Wu, Chien-Ming Chen
  • Patent number: 7024346
    Abstract: A system is provided for automatically generating ATAP test solutions. The system includes ATAP simulation circuitry, a bus, an ATAP test bench file, an output file, and a test program. The ATAP simulation circuitry is switchably coupled to a selected analog cell having an ATAP for applying analog tests. The bus is coupled with the ATAP simulation. The bus is operative to transmit and receive analog test simulation data. The ATAP test bench file is configured to receive the simulation data. The output file is operative to store the simulation data and deliver the simulation data to the ATAP simulation circuitry. The test program is generated by the ATAP simulation circuitry in the output file. The test program is configured to automatically generate ATAP test benches based upon chip-specific information. A method is also provided.
    Type: Grant
    Filed: May 17, 2000
    Date of Patent: April 4, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Claire Allard
  • Patent number: 7017138
    Abstract: A system and method for dynamically determining a route through one or more switch devices at program execution time. A program operable to perform a programmatic request to dynamically determine a route may be created. For example, the request may specify a first endpoint (e.g., channel) of a first switch device and a second endpoint (e.g., channel) of a second switch device. In response to the request, the system may dynamically determine a route from the first endpoint to the second endpoint during execution of the program. Information indicating the determined route may be returned to the program.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: March 21, 2006
    Assignee: National Instruments Corporation
    Inventors: Srdan Zirojevic, Jason White, Scott Rust, Jucao Liang
  • Patent number: 7003697
    Abstract: A system and method are provided for testing electronic devices. Generally, the system includes: (i) a pattern memory with outputs for storing and outputting bits to the device; and (ii) a pattern scrambler for coupling bits from the outputs to pins on the device to provide a test pattern to the device having a width of from 1 bit to a width equal to the number of outputs. Preferably, the system includes a clock with a clock cycle, and the scrambler can change the width and/or depth of the test pattern on a cycle-by-cycle basis More preferably, the scrambler can change the bits coupled to one or more of the pins on a cycle-by-cycle basis. In one embodiment, the memory simultaneously provides logic vector memory and scan memory for storing logic and scan vectors respectively, and the width/depth of the vectors can be changed on a cycle-by-cycle basis.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: February 21, 2006
    Assignee: Nextest Systems, Corporation
    Inventor: Paul Magliocco
  • Patent number: 6999900
    Abstract: In order to test the memory access signal connections between a data processing circuit, such as a processor core 2, and a memory 4, a subset of memory access signal connections 8 are provided with associated scan chain cells 10 so that they may be directly tested. The remainder memory access signal connections 12 which are common to all the expected configurations of the memory 4 are tested by being driven by the processor core 2 itself with data being passed through the memory and captured back within the processor core 2 for checking.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: February 14, 2006
    Assignee: ARM Limited
    Inventors: Teresa Louise McLaurin, Frank David Frederick
  • Patent number: 6993695
    Abstract: A method and apparatus for testing a device using transition timestamp are used to evaluate output signals from the device. The method comprises the steps of performing timing tests on a signal from the device; and independently carrying out bit-level tests on a signal from the device. The independent timing tests and bit-level tests can be performed in parallel. The bit-level tests and apparatus comprise iteratively measuring a coarse timestamp for a transition in the signal and comparing the measured coarse timestamp to an expected timestamp to determine whether the device meets specifications. Whether the device meets specifications depends on whether, during the comparison step, the presence of a bit-level fault is detected. The apparatus and method may comprise Skew Fault detection, Bit Fault detection, No Coverage Warning detection and/or Drift Fault detection. An automatic testing system for testing devices comprises subsystems that incorporate the apparatus and method.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: January 31, 2006
    Assignee: Agilent Technologies, Inc.
    Inventor: Jochen Rivoir
  • Patent number: 6966017
    Abstract: The benefits of on-chip self testing are widely recognized and include the capability to test at high operating speed and independently of external test equipment timing and accuracy limitations. However caches present difficulties since for testing purposes they are conventionally regarded as separate RAM and CAM arrays. The disclosed test engine tests the cache as a whole (i.e., RAM, CAM and comparators together). In the test mode, cache writes are absolutely addressable, selecting a particular entry in a particular way-set during each operation using line addressing and common tag data. This enables read operations to access a specific cache line as if absolutely addressable based on only a partial address and the known tag setting.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: November 15, 2005
    Assignee: Broadcom Corporation
    Inventor: Richard J. Evans
  • Patent number: 6950046
    Abstract: IC with built-in self-test and design method thereof. The IC comprises an SD-ADC and a Dft circuit. The Dft circuit uses a digital stimulus signal to solve the deadlock problem of the on-chip analog testing and avoid thermal noise. Moreover, according to the design method of the IC, circuits having different specification can use the Dft circuit without performance degradation for original SD-ADC.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: September 27, 2005
    Assignee: Industrial Technology Research Institute
    Inventors: Pei-Wen Luo, Yeong-Jar Chang, Jung-Chi Ho, Wen-Ching Wu
  • Patent number: 6949947
    Abstract: Provided is a test mode circuit of a semiconductor device comprising: a test mode control unit for generating a test mode control signal which is decoded in response to a plurality of address codes corresponding to kinds of test modes, respectively; a multi-level generating unit for generating multi levels; a multi-level transfer unit for loading the multi levels on one multi-level test mode line in response to a control signal from the test mode control unit; and a multi-level identifying unit for identifying the multi levels to be inputted from the multi-level transfer unit, to supply a generated test signal to a test mode utilizing circuit.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: September 27, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ho Don Jung
  • Patent number: 6948097
    Abstract: By executing internal verification block instructions in a semiconductor device having a function verification capability, internal verification blocks (11-1, . . . , and 11-n) supply optional input data items to corresponding target verification blocks (12-1, . . . , and 12-n) at optional timings, and operation verification for the target verification blocks (12-1, . . . , and 12-n) is performed.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: September 20, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tsutomu Hatakeyama
  • Patent number: 6934899
    Abstract: In accordance with the objectives of the invention a new method is provided for testing DRAM cells using a slow-speed tester. An adjustable self-time scheme is provided that is used for write-recovery during the testing of DRAM devices using a low-speed tester. CSL and WL pulses are self-time controlled and are in this manner used to emulate DRAM operation under different operational conditions. The adjustable self-time scheme of the invention can be used to screen write recovery (twr) depending on field requirements for the DRAM cell, a low-speed tester can be used for the screening.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: August 23, 2005
    Assignee: Etron Technology, Inc.
    Inventors: Der-Min Yuan, Bor-Doou Rong
  • Patent number: 6927603
    Abstract: A semiconductor integrated circuit having a system bus divided into stages and configured to transfer signals, stage elements configured to connect the stages in series and operate in a divided mode transferring signals from a stage on an input side to a stage on an output side in synchronization with a clock signal and in a through mode that always passes signals from the stage on the input side to the stage on the output side, and a plurality of function modules connected to the different stages.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Yoshida
  • Patent number: 6925591
    Abstract: A method and apparatus for providing full accessibility to on-chip instruction cache and microcode ROM are described. A dummy tag and a dummy instruction are written into a cache tag array and an instruction array, respectively, during a test mode. The dummy tag is concatenated with a predetermined set number and a predetermined word address to form a dummy address having a dummy tag field, a set field and a word address field. An instruction fetch is invoked using the dummy address. The instruction cache is accessed with the dummy address, and a cache miss is forced to occur. The dummy tag field of the dummy address is written into the tag array at a row specified by the predetermined set number, and the dummy instruction is written into the instruction array at the same row. Execution of the dummy instruction is suppressed. A read operation is performed in a similar manner, except in that case an instruction cache hit is forced to occur to cause data to be read from the instruction cache.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: August 2, 2005
    Assignee: Intel Corporation
    Inventors: Chandrashekhar S. Patwardhan, James Earl White, Richard Brunner, Yan Xu, Kenneth Griesser
  • Patent number: 6910164
    Abstract: A method for testing a semiconductor memory device includes forcing the device into a logic state configuration that does not occur during normal operation of the device. The method may also include holding the logic state configuration for a user-variable length of time. In an embodiment, the device testing method includes flowing a direct current through a first input node of a bi-stable latch. This node may be electrically arranged between a node coupled to a voltage source and a node coupled to a circuit ground potential. An embodiment of a memory device may include testmode circuitry adapted to maintain a pair of bitlines at logic states that are not maintained during ordinary operation of the device. A system for testing a semiconductor memory device may include testmode circuitry adapted to force a pair of bitlines to the same logic state for a user-determined length of time.
    Type: Grant
    Filed: August 9, 2001
    Date of Patent: June 21, 2005
    Assignee: Cypress Semiconductor Corp.
    Inventor: Mark Finn
  • Patent number: 6898747
    Abstract: The invention creates a method for testing circuit units (100) to be tested, in which test output signals (107a-107n) can be combined, where test input signals (106a-106n) are input from a test device (105) into the circuit unit (100) to be tested via a connecting unit (104), the circuit unit (100) to be tested is tested by means of the test input signals (106a-106n) in order to obtain corresponding test output signals (107a-107n) which indicate an operability of the circuit unit (100) to be tested, a gate unit (101) is connected to the connecting unit (104) by means of a first test mode switching unit (102) and of a second test mode switching unit (103), in such a manner that the test output signals (107a-107n), after being logically combined in the gate unit (101), are provided as a combined test output signal (109) via a single output line (110), and the combined test output signal (109) is output to the test device (105).
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: May 24, 2005
    Assignee: Infineon Technologies AG
    Inventor: Thomas Finteis
  • Patent number: 6895537
    Abstract: Following data writing into a memory cell array according to an internal address signal, the data read out from each memory cell is compared with expected value data in a readout operation. An associated memory cell array and a test block are provided corresponding to each sub memory cell array. Each test block includes a replacement determination unit for respective combinations of a sequence to replace a memory cell row and a memory cell column in order. Each replacement determination unit writes a defective address only when a defective memory cell having an address differing from the row and column addresses of a defective memory cell already stored is found.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: May 17, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Tomoya Kawagoe, Jun Ohtani
  • Patent number: 6874112
    Abstract: An integrated circuit with improved testability includes a test logic component that replaces a corresponding regular logic component and that generates a logic high or low whenever a test input is activated. Alternatively, it may generate either high or low depending on which of two test inputs is activated. A test program may be augmented with instructions to activate such test inputs. An integrated circuit design may be analyzed to select a node that is not covered by a test program and to identify which logic component generates an output on the node. Then the design may be altered to replace the identified logic component with a corresponding test logic component. Test coverage analysis may be based on determining whether the test program toggles the node, or determining whether a stuck at fault on the node propagates so as to be observed.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: March 29, 2005
    Assignee: Summit Microelectronics, Inc.
    Inventor: Lawrence Stanton Schmitz
  • Patent number: 6868545
    Abstract: The time, effort and expense required to develop verification software for testing and de-bugging system-on-chip (SOC) designs represents a considerable investment. According to the method of the present invention, a portion of such verification software may be re-used in an operating system (OS) (i.e., a system used for, e.g., general business, technical or scientific applications as opposed to software testing) to capitalize on the investment. The verification software includes low-level device drivers (LLDDs) which were coded for and paired with specific device designs (“cores”) throughout the verification process, and were consequently also verified (i.e., de-bugged) in the process. Thus, the low-level device drivers represent reliable software with detailed knowledge of the corresponding devices.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: March 15, 2005
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Devins, Paul G. Ferro, Robert D. Herzl, Kenneth A. Mahler
  • Patent number: 6858447
    Abstract: A method for testing semiconductor chips, in particular semiconductor memory chips, is described. In which, in a chip to be tested, at least one test mode is set, the test mode is executed in the chip and test results are output from the chip. It is provided that, after the setting and before the performance of the test mode, a check mode is executed in which the status of the test mode set in the chip is read out in a defined format.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: February 22, 2005
    Assignee: Infineon Technologies AG
    Inventors: Udo Hartmann, Jochen Kallscheuer, Peter Beer
  • Patent number: 6834366
    Abstract: A circuit and method for selectively outputting internal information in a semiconductor memory device comprising a test circuit such as a JTAG test circuit. The internal information is selectively output through a test pin of the test circuit during a normal operation mode of the semiconductor memory. The internal information of a semiconductor memory chip is output as either a digital or analog signal without having to add additional package pins.
    Type: Grant
    Filed: September 21, 2001
    Date of Patent: December 21, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Nam-Seog Kim, Kwang-Jin Lee
  • Patent number: 6829730
    Abstract: In a circuit with multiple Test Access Port (TAP) interfaces, the TAPs are arranged into groups, with secondary TAPs in one or more groups and a master TAP in another group, the master TAP having an instruction register with bits for storing a group selection code; a Test Data Output (TDO) circuit responsive to the group selection code connects the group TDO of one of the groups to the circuit TDO; and, for each secondary TAP group, a group Test Data Input (TDI) circuit responsive to a shift state signal for selectively connecting the group TDI to the circuit TDI or to the output of a padding register having its input connected to the circuit TDI, and its output connected to an input of the group TDI circuit; and a group TMS circuit responsive to a predetermined TAP selection code associated with the group for producing a group TMS signal for each TAP in the group.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: December 7, 2004
    Assignee: LogicVision, Inc.
    Inventors: Benoit Nadeau-Dostie, Jean-François Côté
  • Patent number: 6822435
    Abstract: A comparator circuit includes at least one transconductance stage that receives two test voltages and two reference voltages. The transconductance stage produces two test currents that are proportional to the test voltages and two reference currents. A switching circuit is coupled to the transconductance stage. The switching circuit has two output terminals that are coupled to a conventional comparator stage. The switching circuit can combine the test currents with the reference currents to realize a differential swing comparison mode and a common-mode comparison mode as required for testing differential signals. Moreover, by disabling appropriate output signals from the at least one transconductance stage, a single-ended comparison mode is realized. By using two identical transconductance amplifiers, the non-linearity of the transconductance stage is advantageously canceled out.
    Type: Grant
    Filed: January 24, 2002
    Date of Patent: November 23, 2004
    Assignee: NPTest Inc.
    Inventor: Toshihiro Nomura
  • Patent number: 6802046
    Abstract: Systems for performing time domain measurements of a device under test (DUT) are provided. One such system includes a normalization system that receives information corresponding to a model of a test system used for providing differential input signals to a DUT, receives information corresponding to first and second differential input signals provided to the DUT, receives information corresponding to first and second reflected waveforms corresponding to the DUT response to the first and second differential input signals, and computes first and second normalized waveforms using at least a first inverse transfer function of the test system, the first and second normalized waveforms including fewer test system error components than the first and second reflected waveforms, respectively. Methods, computer-readable media and other systems also are provided.
    Type: Grant
    Filed: May 1, 2002
    Date of Patent: October 5, 2004
    Assignee: Agilent Technologies, Inc.
    Inventors: Jefferson Athayde Coelho, Jr., Michael Joseph Resso
  • Patent number: 6795943
    Abstract: A semiconductor memory includes a first decoder selecting any of modes 1-n of a test mode B according to first to fourth data signals, and a second decoder selecting any of modes 1-n of the test mode B according to fifth to eighth data signals. When a predetermined mode m+1 is not set in a test mode A, the mode selected by both the first and second decoders is set. When the predetermined mode m+1 is set, the mode selected by the first decoder is set. Therefore, the test mode B can be set at the manufacturer side by connecting only four data input/output terminals to the tester.
    Type: Grant
    Filed: October 11, 2001
    Date of Patent: September 21, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Hirotoshi Sato, Masaki Tsukude, Ryu Makabe
  • Patent number: 6789221
    Abstract: In an integrated circuit comprising an application circuit (1) to be tested and a self-test circuit (5-16) which is provided for testing the application circuit (1) and comprises an arrangement (5-9) for generating deterministic test samples which are applied to the application circuit (1) for test purposes, the output signals occurring due to the application circuit (1) in dependence upon the test samples being evaluated by means of a signature register (13), an unlimited ON-chip testing possibility of the integrated circuit without additional circuit elements in the application circuit (1) is ensured for test purposes in that the self-test circuit (5-16) comprises a masking logic element (14) which, during testing, blocks those bits of the output signals of the application circuit (1) which, based on the circuit structure of the application circuit (1), have undefined states and applies only the other bits to the signature register (13).
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: September 7, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Friedrich Hapke
  • Patent number: 6785856
    Abstract: An integrated memory self-tester that tests an entire memory array reduces the need for sophisticated external test equipment and reduces the duration of the test. A read test of the memory array can check the memory cells. Optional programmable registers may store the results of the tests. The results may be transmitted from the memory device. The integrated memory self-tester may be initiated via a test signal, be self initiated periodically, or be initiated by other means.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: August 31, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Allan Parker, Joseph Skrovan
  • Patent number: 6782336
    Abstract: A test circuit receives a plurality of internal test signals and delivers a group of the plurality of internal test signals onto a bus during an idle state of the bus. The bus is coupled to output pins so that the group of internal test signals can be used in debugging operations. The test circuit may include a multiplexing circuit that receives the plurality of internal test signals as inputs and that delivers a selected group of the internal test signals as outputs. The test circuit may also include a switch that couples the selected group of the internal test signals onto the bus during an idle state.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: August 24, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Paras A. Shah
  • Patent number: 6775811
    Abstract: A method of circuit design for designing integrated circuits with one or more embedded memories. A placement is generated for timing critical logic associated with each included embedded memory in a logic design. An augmented memory boundary is generated for said each included memory. Each augmented memory boundary encompasses one embedded memory and associated said timing critical logic.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: August 10, 2004
    Assignee: LSI Logic Corporation
    Inventors: Viswanathan Lakshmanan, Michael Josephides, Tom R. O'Brien, David A. Morgan
  • Patent number: 6760904
    Abstract: Apparatus and methods for translating test vectors between a format suitable for use with a standalone integrated circuit tester and a format suitable for use with an in-circuit tester are disclosed. Methods according to the invention include: providing a first test file in a first format that is suitable for use with the standalone integrated circuit tester, and translating the first test file into a second test file in a second format that is suitable for use with the in-circuit tester. Methods according to the invention also include: providing a first test file in a first format that is suitable for use with the in-circuit tester, and translating the first test file into a second test file in a second format that is suitable for use with the standalone integrated circuit tester. Apparatus according to the invention include computer-readable media having stored thereon computer-executable instructions for performing these methods.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: July 6, 2004
    Assignee: Unisys Corporation
    Inventors: Mark W. Jennion, Oleg Rodionov
  • Publication number: 20040128601
    Abstract: Arrangements (circuits, methods, systems) having self-measurement of input/output (I/O) specifications (e.g., input trip-point, output drive-level and pin leakage).
    Type: Application
    Filed: December 31, 2002
    Publication date: July 1, 2004
    Inventors: Harry Muljono, Yanmei Tian
  • Patent number: 6757844
    Abstract: An apparatus comprising a first circuit comprising a JTAG port and a second port. A JTAG non-compliant circuit may be controlled by the JTAG port when connected to the second port.
    Type: Grant
    Filed: October 25, 2000
    Date of Patent: June 29, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Navaz Lulla, Anup Nayak, Harish Dangat, Richard L. Stanton