Structural (in-circuit Test) Patents (Class 714/734)
  • Publication number: 20080052583
    Abstract: A method is disclosed for monitoring a communication link between a first apparatus and a second apparatus, the method comprising receiving concurrently from the first apparatus (e.g., a central office) at the second apparatus (e.g., an optical demarcation point at a customer premises) a communication signal at a communication wavelength, ?1 and a test signal at a test wavelength ?t, permanently separating the test signal from the communication signal at the second apparatus and sending to the first apparatus on a return path between the first apparatus and the second apparatus a signal indicating whether the test signal was received at the second apparatus. An apparatus is disclosed including an interface for receiving concurrently the communication signal and the test signal, a filter for permanently separating the test signal from the communication signal and a coupler for permanently placing a signal on a return path.
    Type: Application
    Filed: July 14, 2006
    Publication date: February 28, 2008
    Applicant: SBC Knowledge Ventures L.P.
    Inventors: Patricia R. Matteson, Jorey M. Pascasio, Renne G. Pascasio
  • Patent number: 7330993
    Abstract: According to one embodiment a computer system is disclosed. The computer system includes a bus and a chipset coupled to the bus. The chipset detects the slew rate of a signal transmitted over the bus by the chipset. In addition the chipset adjusts the slew rate based upon the state of the signal.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: February 12, 2008
    Assignee: Intel Corporation
    Inventors: Mahesh J. Deshmane, Mark A. Beiley, Luke A. Johnson
  • Patent number: 7330502
    Abstract: An input/output circuit includes a reference clock generator configured to generate a reference clock. A signal transmitter is configured to transmit serial data in synchronization with one of the reference clock and a test clock. A signal-receiving circuit is configured to receive the serial data, and to generate a converted signal from the serial data. A test circuit is configured to detect an error between each phase of the converted signal and the test clock when the signal transmitter operates in synchronization with the test clock.
    Type: Grant
    Filed: April 14, 2004
    Date of Patent: February 12, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yuuichi Hotta
  • Patent number: 7325173
    Abstract: During a first data compression test mode which disables an error correction function, first test data are written to a first regular memory block. Second test data are written to not only a second regular memory block, but a parity memory block. By changing the number of bits distributed to the first and second test data (compression rate of data), a data compression test for a parity memory block can be performed without need to increase the number of test terminals. As a result, the test time can be decreased and the test cost can be decreased.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: January 29, 2008
    Assignee: Fujitsu Limited
    Inventors: Akira Kikutake, Masato Matsumiya, Yasuhiro Onishi
  • Patent number: 7321998
    Abstract: A semiconductor integrated circuit includes a plurality of data output pins, a data processing circuit to generate output signals responsive to an input signal, and an output selection circuit with at least a normal mode and a test mode. A first group of output signals are provided to a first group of data output pins in a first test cycle of the test mode. And a second group of output signals are provided to a second group of data output pins during a second test cycle of the test mode. The semiconductor integrated circuit can be tested by means of a test device having less test pins than the output pins of the semiconductor integrated circuit under test.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: January 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Nam-Jung Her, Seok-Young Han
  • Patent number: 7322000
    Abstract: Semiconductor devices, circuits and methods apply both system logic tests and external interface tests via a common series of boundary shift registers residing on the semiconductor chip. In an exemplary embodiment, a test access port receives an external testing signal from a source outside the semiconductor device, and an on-chip test module (e.g. a built-in self-test (BIST) module) contained within the semiconductor device provides an internal testing signal for the system logic. Control logic selectively provides appropriate input testing signals to the boundary shift registers and receives and processes appropriate output signals from the boundary shift registers in each testing mode. Using the various control techniques, a common set of boundary scan registers may be used to implement, for example, an IEEE 1149.1 interface, a BIST isolation wrapper scan chain, a BIST-mode input/output control, or the like.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: January 22, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Tomas V. Colunga, Loren J. Benecke, Joseph S. Vaccaro
  • Publication number: 20080010575
    Abstract: The semiconductor device is equipped with a logic circuit such as a memory; a self test circuit for self-testing the logic circuit; a critical path defined up to the logic circuit; a test path defined from the self test circuit up to the logic circuit; a delay circuit provided on the test path, to which a delay value equivalent to a delay value of the critical path is set; and a selecting/outputting circuit for selecting any one of a signal inputted via the critical path and another signal inputted via the test path and for outputting the selected signal.
    Type: Application
    Filed: June 7, 2007
    Publication date: January 10, 2008
    Inventor: Tokushi Yamaguchi
  • Patent number: 7318182
    Abstract: The present invention provides for a method for memory array verification. Initialization commands are received and memory array initialization settings are generated based on received initialization commands. The memory array initialization settings are stored in a memory array. A deterministic read output function for the memory array is identified and a logic built-in self test scan on the memory array is performed based on the identified deterministic read output function.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: January 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis Bernard Bushard, Sang Hoo Dhong, Brian King Flachs, Osamu Takahashi, Michael Brian White
  • Patent number: 7313739
    Abstract: Testing memory devices. An apparatus may include a test module operative to perform a test on a plurality of pipelined memory elements and a fail trace module operative to interrupt the test in response to identifying a failure of a memory element and to store an address of said memory element in a storage unit.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: December 25, 2007
    Assignee: Analog Devices, Inc.
    Inventors: Sankaran M. Menon, Luis A. Basto, Tien Dinh, Thomas Tomazin, Juan G. Revilla
  • Patent number: 7313742
    Abstract: A logic circuit having a self-test function includes a plurality of F/Fs having at least first-, second- and last-stage scanning F/Fs, each having a clock input, a scanning input and a scanning output terminals. The scanning F/Fs are connected one another so as to supply a scanning clock signal to the clock input terminal of each scanning F/F and a signal from the scanning output terminal of the first-stage to the scanning input terminal of the second-stage for sequential logical operations. Also provided in the logic circuit are a data selector to select either an external scanning signal or a signal output from the scanning output terminal of the last-stage and fed back through a feed-back signal line and a scanning controller to supply a control signal to the data selector so as to supply the signal fed back from the last-stage to the scanning input terminal of the first-stage, thus controlling each F/F in an internal scanning mode.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: December 25, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masafumi Takahashi, Kenji Ohmori
  • Patent number: 7308624
    Abstract: A testing system has a processor, a module and at least one manufactured semiconductor device. The processor is configured to send and receive testing signals. The module is electrically coupled to the processor. The at least one manufactured semiconductor device is mounted on the module, and the semiconductor device has a plurality of pins at least one of which is a non-functional pin. The system is configured to provide the processor access to the semiconductor device. An external device monitors voltage at the non-functional pin of the semiconductor device.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: December 11, 2007
    Assignees: Infineon Technologies North America Corp., Infineon Technologies AG
    Inventors: Martin Versen, Daewon Lee
  • Patent number: 7308656
    Abstract: An aspect of the invention relates to a method, apparatus, and computer-readable medium for processing schematic data for an integrated circuit having a boundary scan architecture. A path through cells of the schematic data to generate a hierarchy of cells associated with a boundary scan chain. Each ignore cell in the hierarchy is pruned. Each short cell in the hierarchy is replaced with a direct connection. A shadow net is added to each net of the hierarchy. Each of the cells in the hierarchy is flattened in a bottom-up fashion.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: December 11, 2007
    Assignee: Xilinx, Inc.
    Inventors: Scott K. Roberts, Mark B. Roberts
  • Patent number: 7308635
    Abstract: An electronic circuit, having a test mode in application of the “internal scan path” technique, includes a plurality of configurable cells and a control circuit. The electronic circuit is adapted to working in a standard mode of operation or in a test mode during which the control circuit is active and configures the configurable cells either in a functional state or in a chained state. The electronic circuit furthermore includes a validation circuit that performs the following operations successively when it receives an instruction for changing the mode of operation (TEST, FIN) of the electronic circuit: produce initialization signals (INIT1, INIT2, . . . , INITN) to command the initialization of all the configurable cells, and then produce a mode-changing signal (VAL).
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: December 11, 2007
    Assignee: STMicroelectronics S.A.
    Inventors: Frederic Bancel, David Hely
  • Patent number: 7305596
    Abstract: To provide a technique which enables a load on a controller to be reduced by rapidly detecting n-bit errors during writing/erasing on a chip in ECC in a nonvolatile memory. A flash memory of the present invention, which is a nonvolatile memory that includes plural electrically erasable and writable nonvolatile memory cells and performs write-and-verify processing in a write operation on the nonvolatile memory cells, includes an ECC determination circuit that counts the number of bits of write error detected in the write-and-verify processing, and outputs the information, and a status register for holding pass/fail information of the write operation and the information about the number of bits of write error outputted from the ECC determination circuit.
    Type: Grant
    Filed: July 18, 2005
    Date of Patent: December 4, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Satoshi Noda, Kenji Kozakai, Toru Matsushita, Yusuke Jono
  • Patent number: 7302625
    Abstract: A Built-in Self Test (BIST) system is provided in a Field Programmable Gate Array (FPGA) that can adjust test signal patterns provided for testing after partial reconfiguration of the FPGA. The BIST system includes a decoder that monitors I/O signals and provides an output indicating when I/O signals change indicating partial reconfiguration has occurred. The decoder output is provided to a BIST test signal generator providing signals to an IP core of the FPGA as well as a BIST comparator for monitoring test results to change test signals depending on the partial configuration mode.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: November 27, 2007
    Assignee: Xilinx, Inc.
    Inventors: Tassanee Payakapan, Lee Ni Chung, Shahin Toutounchi
  • Patent number: 7299388
    Abstract: A method and apparatus according to the present invention enable wafer chips to be configured with a single power on and off sequence and further enable a chip parameter to be adjusted during a wafer test without utilizing that sequence. In particular, each wafer chip under test is assigned a unique programmable identification. Once each chip has been assigned a corresponding identification, the chips may each be individually accessible by that identification to provide parameter values to chip registers to configure that chip. The configured chips may be subsequently tested in parallel to evaluate the parameter settings. In addition, the present invention enables chips to share data I/O pins or lines, thereby reducing the quantity of testing machine pins utilized for each chip and enabling a greater quantity of chips to be tested in a parallel fashion.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: November 20, 2007
    Assignee: Infineon Technologies, AG
    Inventors: Rath Ung, Jan Zieleman, Robert Perry, Norbert Rehm, Dirk Fuhrmann
  • Patent number: 7299393
    Abstract: A processor-based device includes a processor, a trace module, a plurality of data input/output pins, and an input/output interface circuit. The input/output interface circuit, when operating in a trace mode, externally outputs trace data signals from the trace module to an external device via at least one of the data input/output pins. When in a normal mode, the input/output interface circuit transfers data from the processor core to the data input/output pins and transfers data received at the data input/output pins to the processor core. In this manner, the processor-based device according to the present invention can output trace data using normal data input/output pins without the need for additional pins for outputting the trace data.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: November 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jung-Mi Kwon
  • Patent number: 7296202
    Abstract: A semiconductor module with a plurality of interface circuits has a configuration for the self-test of interface circuits, with two equally sized groups of interface circuits such that each interface circuit of the first group is assigned exactly one interface circuit of the second group. A circuit interacts with the first group and serves for generating test signals which can be output via the interface circuits of the first group. Another circuit interacts with the second group and serves for receiving and processing test signals received via the interface circuits of the second group, so that a connection of the assigned interface circuits of the first and second groups enables a self-test, the first and second groups of interface circuits having a separate voltage supply. This enables good test coverage by separate variation of the voltage of transmitting and receiving group.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: November 13, 2007
    Assignee: Infineon Technologies AG
    Inventor: Detlev Richter
  • Patent number: 7281182
    Abstract: A boundary scan register circuit and a method of characterization testing. The boundary scan register circuit, including: a multiplicity of boundary scan cells connected in series, each boundary scan cell having a latch; means for isolating the boundary scan cells into one or more boundary scan segments, each boundary scan segment containing a different set of the boundary scan cells; and means for characterizing signal propagation through each boundary scan segment.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: October 9, 2007
    Assignee: International Business Machines Corporation
    Inventors: Pamela S. Gillis, David D. Litten, Steven F. Oakland
  • Patent number: 7278078
    Abstract: An integrated circuit has a built-in self-test (BIST) arrangement (60). The built-in self-test arrangement includes a read only memory (ROM), (410) that stores test algorithm instructions. A Rom logic circuit (410) receives an instruction read from the read only memory and produces a group of output signals dependent upon the instruction. A BIST register 420 receives and stores the group of output signals from the logic circuit for controlling self-test of the integrated circuit.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: October 2, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Kuong Hua Hii, Danny R. Cline, Theo J. Powell
  • Patent number: 7274200
    Abstract: A semiconductor circuit is disclosed, including a DLL circuit for supplying a desired signal-delay amount. The DLL circuit includes detecting means for detecting variations of a signal-delay amount, and delay-amount control means for generating a delay-amount control signal for controlling, depending on the variations of the signal-delay amount detected by the detecting means, the signal-delay amount of the DLL circuit. The semiconductor circuit further includes a part for monitoring circuit performance of the semiconductor circuit based on the delay-amount control signal.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: September 25, 2007
    Assignee: Fujitsu Limited
    Inventors: Hiroshi Miyake, Noriyuki Tokuhiro
  • Patent number: 7275195
    Abstract: A built-in self-test circuit for use in testing a serializer/deserializer circuit includes a programmable transmit register that transmits data to the serializer/deserializer circuit having programmably varying characteristics. The built-in self-test circuit includes the transmit register that transmits data to the serializer/deserializer for processing into processed data, a receive register that receives the processed data from the serializer/deserializer, and an error detector that detects errors in the processed data.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: September 25, 2007
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventor: Antonio Marroig Martinez
  • Patent number: 7272756
    Abstract: Communications equipment can be tested using a test pattern that is modified compared to, and more exploitive than, a standard test pattern. Test patterns can be employed that have lengthened or shortened consecutive identical digit (CID) portions, or that have lengthened or shortened pseudo random bit sequence (PRBS) portions. In some cases, PRBS polynomials are not re-seeded after each CID. Further, different order polynomials can be employed for different applications. Exemplary applications can include test equipment and built-in self-test capability for integrated circuits.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: September 18, 2007
    Assignee: Agere Systems Inc.
    Inventors: Robert D. Brink, James Walter Hofmann, Jr., Max J. Olsen, Gary E. Schiessler, Lane A. Smith
  • Patent number: 7260759
    Abstract: A memory BIST architecture provides an efficient communication interface between external agents, e.g., external tester and a memory BIST module. The memory BIST architecture reduces diagnostics efforts by dividing the search space and allowing the test and debug to be concentrated on the failing memory. The memory BIST architecture is divided into two levels, a memory BIST sequencer level and a satellite memory BIST module. The memory BIST sequencer level includes a set of registers that provide an interface between external agents attempting to communicate with the MBIST module and the Satellite MBIST module.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: August 21, 2007
    Assignee: Sun Microsystems, Inc.
    Inventors: Kamran Zarrineh, Kenneth A. House, Syed A. Obaidulla
  • Patent number: 7254758
    Abstract: The invention provides a test apparatus for testing a circuit unit to be tested. In one embodiment, a circuit unit incorporating aspects of the invention includes a data memory bank (106) for storing test mode data which are fed via an address control terminal (201) and with which the circuit unit (101) to be tested can be tested, provision being made of at least one test mode bank (104a-104n) for providing at least one test mode data set (204a-204n) and at least one activation signal (205a-205n), at least one register bank (103a-103n) and a transfer device for transferring a test mode data set (204a-204n) from a register bank (103a-103n) to the data memory bank (106) in a manner dependent on the activation signal (205a-205n).
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: August 7, 2007
    Assignee: Infineon Technologies AG
    Inventor: Erwin Thalmann
  • Patent number: 7253651
    Abstract: A central test facility transmits wirelessly test data to a local test facility, which tests electronic devices using the test data. The local test facility transmits wirelessly response data generated by the electronic devices back to the central test facility, which analyzes the response data to determine which electronic devices passed the testing. The central test facility may provide the results of the testing to other entities, such as a design facility where the electronic devices were designed or a manufacturing facility where the electronic devices where manufactured. The central test facility may accept requests for test resources from any of a number of local test facilities, schedule test times corresponding to each test request, and at a scheduled test time, wirelessly transmits test data to a corresponding local test facility.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: August 7, 2007
    Assignee: FormFactor, Inc.
    Inventors: Igor Y. Khandros, Benjamin N. Eldridge
  • Patent number: 7251765
    Abstract: A semiconductor integrated circuit includes a first delay circuit generating a first delay clock; a second delay circuit generating a second delay clock; a first register registering a value of a first delay of the first delay clock; a second register registering a value of a second delay of the second delay clock; a clock supplying circuit supplying a clock signal to the first and second delay circuits; a phase comparator detecting a phase difference between the first and second delay clocks; and a built-in test circuit configured to control the first and second registers so that the value of the first delay can be registered in the first register and the value of the second delay can be registered in the second register.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: July 31, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Natsuki Kushiyama, Yukihiro Urakawa
  • Patent number: 7250784
    Abstract: A hard disk drive system includes an external interface that receives test configuration data, that transmits test result data, and that transmits and receives application data. The hard disk drive system includes a system on chip (SOC) that includes a controller and a read/write channel that communicates with the controller and that includes an integrated system test (IST) module that communicates with the external interface. A memory module communicates with the SOC and includes memory and an IST module. The hard disk drive system includes a spindle/voice coil motor driver module that includes an IST module. At least one of the IST modules is a master IST module that receives the test configuration data and that configures the IST modules for testing at least one of the controller, the read/write channel, and the memory module.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: July 31, 2007
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho
  • Patent number: 7251762
    Abstract: Through addressing circuitry, a sampling circuit can choose a unique internal node/signal on an encapsulated/packaged chip to be output to one or more drivers. The chosen signals available at the target node are directed either through a select circuit to an output pin, or directly to an output pin. In a preferred mode, decode circuits used to select a unique node are serially connected, allowing for a large number of signals to be made available for analyzing without a large impact on circuit layout.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: July 31, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Chris Martin, James Brian Johnson, Troy Manning, Brent Keeth
  • Patent number: 7249296
    Abstract: An ECC circuit has an error correction function of N (N is a natural number) bits for output data of a memory cell array. A BIST circuit reads background data out of test target addresses, and writes/reads inverted data of the background data in at least a part of the testing target addresses. An N+1 bit error detection circuit outputs a signal indicative of test NG (defective product) when a total of error bit numbers n1 and n2 detected by the ECC circuit during first and second readings exceeds N.
    Type: Grant
    Filed: March 22, 2004
    Date of Patent: July 24, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Osamu Hirabayashi
  • Patent number: 7246286
    Abstract: Testing methods and chips preventing sampling errors caused by asynchronous effect. The chip comprises a first logic portion driven by a first clock signal with a first operating frequency, and a second logic portion driven by a second clock signal with a second operating frequency. The first operating frequency is higher than the second operating frequency, and is not an integral multiple of the second operating frequency. In the test method, a third operating frequency of a third clock signal is generated according to the second clock signal, in which the third operating frequency is higher than the first operating frequency and is an integral multiple of the second operating frequency. The first clock signal is replaced by the third clock signal and the first logic portion is tested by the third clock signal. The second logic portion is tested by the second clock signal.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: July 17, 2007
    Assignee: Via Technologies, Inc.
    Inventor: I-Lin Hsieh
  • Patent number: 7242210
    Abstract: An inspection apparatus includes an interface connector, an inspection mechanism, and a monitor. The interface connector connects the inspection apparatus with an external device. The inspection mechanism inspects an inspection board using primary data sent by the external device by the interface connector, and informs the external device by the interface connector about an inspection result obtained based on secondary data corresponding to the primary data. The monitor is connected with the interface connector on a primary signal line and monitors the primary and secondary data executed concurrently with an inspecting operation of the inspection mechanism, acquires the primary and secondary data, and transmits the primary and secondary data directly to the external device by the interface connector executed concurrently with an informing operation of the inspection mechanism.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: July 10, 2007
    Assignee: Ricoh Company, Ltd.
    Inventor: Ikuo Koizumi
  • Patent number: 7242211
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: July 10, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7240264
    Abstract: An external scan test module that is adapted to act as an interface between an automated tester and a device under test. The external scan test module includes a scan pattern memory to hold scan patterns for at least one configuration of the device under test. A failure log memory holds failure information for the device under test. A controller sends scan input data to the device under test, receives scan output data from the device under test, and sends and receives signals from the automated tester. An interface receives scan patterns.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: July 3, 2007
    Assignee: LSI Corporation
    Inventors: Kevin J. Gearhardt, Douglas J. Feist
  • Patent number: 7240262
    Abstract: A scan-path circuit is made up of cascaded flip-flops which are input/output circuits of a combinational logic circuit. In a logic circuit 21 which adopts a scan design test technique for simplifying a test of the same by serially shifting a test result through the flip-flops, selectors for directly connecting inputs of the respective flip-flops of the scan-path circuit to a scan input are provided. After causing all flip-flops to have identical values (either “0” or “1”), the values are shifted and outputted so that the location of a failure is specified. With this, the maximum period of time required by the test does not exceed the total of clocks for the shifting through all stages and one more stage. Thus, in addition to the checking of the presence of a failure, the location of a failure is, if necessary, specified in a short period of time.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: July 3, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Tomoya Takasaki
  • Patent number: 7231562
    Abstract: The invention relates to an integrated memory module having a memory unit and a self-test circuit, the self-test circuit being embodied in such a way as to make available test data and test addresses for testing memory areas in the memory unit and to generate defect data depending on the detection of a defect, a test circuit being provided in order to receive defect data from one or a plurality of connectable memory modules to be detected, the test circuit being configured in such a way as to store the received defect data depending on addresses assigned thereto in the memory unit.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: June 12, 2007
    Assignee: Infineon Technologies AG
    Inventors: Carsten Ohlhoff, Peter Beer
  • Patent number: 7225373
    Abstract: System and apparatus for data validation is described. An initialization controller includes an initialization state machine. The initialization state machine is configured to cause configuration data to be transferred from memory internal or external to the integrated circuit to other memory internal to the integrated circuit. The configuration data is stored in the integrated circuit, read back from storage in the integrated circuit, and compressed by the integrated circuit after being read back. The configuration data is compressed into a signature, which may be compared with an expected result for the signature.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: May 29, 2007
    Assignee: Xilinx, Inc.
    Inventors: Eric E. Edwards, Schuyler E. Shimanek, Phillip A. Young, Steven T. Reilly, Wayne E. Wennekamp
  • Patent number: 7219283
    Abstract: Connection circuitry provides for TAP and internal scan test ports to be merged so they both can co-exist and operate from the same set of IC pins and/or core leads or terminals. A first buffer has an input connected to a scan output lead, a control input, and an output connected to a serial data output lead. A first gate has an output connected to the control input of the first buffer, a scan output enable input connected to a scan circuitry control output lead, and a lock out signal input. A second buffer has an input connected to a test data output lead, an input connected to a buffer enable output lead, and an output connected to a serial data output lead. This structure provides for selecting data outputs between the TAP and internal scan test ports.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: May 15, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7215133
    Abstract: A system and method for measuring circuits on an integrated circuit substrate includes a measurement circuit formed on the integrated circuit substrate that measures at least one characteristic of an integrated circuit. The measurement circuit has a power transfer device including a power transfer component, which receives energy from a source where the source does not make physical contact with the integrated circuit substrate to transfer power to the measurement circuit. Measurements are taken to provide feedback for in-situ adjustments to circuit parameters and responses.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: May 8, 2007
    Assignee: International Business Machines Corporation
    Inventor: Young Hoon Kwark
  • Patent number: 7213183
    Abstract: The invention is directed to an integrated circuit that includes a plurality of functional circuit blocks. Respective associated multiplexers are used to change over between a normal mode and a test mode. The input side of the multiplexers each have a test register connected thereto which is coupled to a serial bus. A control unit controls the transfer of test data to a selected function block on the basis of the state of a mode-of-operation memory cell in the respective test register. This means that there is little involvement required to put individual function blocks of a chip deliberately into a test mode and to program them as appropriate, while other function blocks are operating in normal mode. The principle described allows a high degree of flexibility with regard to the testing of integrated circuits with a multiplicity of functional assemblies.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: May 1, 2007
    Assignee: Infineon Technologies AG
    Inventor: Timo Gossmann
  • Patent number: 7210085
    Abstract: A method of manufacturing a device having embedded memory including a plurality of memory cells. During manufacturing test, a first test stress is applied to selected cells of the plurality of memory cells with a built-in self test. At least one weak memory cell is identified. The at least one weak memory cell is repaired. A second test stress is applied to the selected cells and the repaired cells with the built-in self test.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: April 24, 2007
    Assignee: International Business Machines Corporation
    Inventors: Ciaran J. Brennan, Steven M. Eustis, Michael T. Fragano, Michael R. Ouellette, Neelesh G. Pai, Jeremy P. Rowland, Kevin M. Tompsett, David J. Wager
  • Patent number: 7210081
    Abstract: An apparatus performs reliability assessment of electronic hardware. The apparatus includes a test assembly. The test assembly includes at least one programmable logic device (PLD). The PLD is configured to provide a logic function, such as the function of a plurality of inverters coupled in a cascade manner. The apparatus further includes a signal source coupled to the test assembly. The signal source provides a stimulus signal to the test assembly. The apparatus also includes a signal monitor coupled to the test assembly. The signal monitor monitors a response signal generated by the test assembly.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: April 24, 2007
    Assignee: Altera Corporation
    Inventors: Bruce Euzent, Roy Wei-Guang Wu, Jeffrey Barton, Anil Pannikkat, Vadali Mahadev, Tomas Jonsson
  • Patent number: 7193426
    Abstract: One embodiment of the invention relates to a test structure for testing an integrated circuit with a tester unit that has one or more connecting lines to connect the integrated circuit, wherein a test signal and/or a supply voltage is applied to the integrated circuit for the purposes of testing, and an interference unit connected to at least one of the connecting lines which applies an interference signal to the connecting line to reduce the quality of the test signal and/or the quality of the supply voltage.
    Type: Grant
    Filed: December 16, 2004
    Date of Patent: March 20, 2007
    Assignee: Infineon Technologies AG
    Inventor: Peter Pochmüller
  • Patent number: 7185253
    Abstract: Circuit responses to a stimulus may be compacted, decreasing the number of pin outs, without increasing the circuit element length, using a compactor. In accordance with one embodiment of the present invention, errors may be detected in scan chains used for integrated circuit testing. The number of outputs applied to output pins or other connectors may be substantially decreased, resulting in cost savings.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: February 27, 2007
    Assignee: Intel Corporation
    Inventors: Subhasish Mitra, Kee Sup Kim
  • Patent number: 7178078
    Abstract: An apparatus enables a high quality test to be carried out within a short time, without forcing a severe design limitation on the designer and without an expensive tester. The apparatus includes a pattern generator built in an integrated circuit to generate pseudo random patterns as test patterns. A plurality of shift registers are configured with sequential circuit elements inside said integrated circuit. An automatic test pattern generating unit generates ATPG patterns. A pattern modifier modifies a portion, to which a predetermined value is required to be set in order to detect a fault, in said pseudo random patterns generated by said pattern generator, on a basis of said ATPG patterns, and inputs said modified pseudo random patterns to said shift registers.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: February 13, 2007
    Assignee: Fujitsu Limited
    Inventors: Takahisa Hiraide, Hitoshi Yamanaka, Junko Kumagai, Hideaki Konishi, Daisuke Maruyama
  • Patent number: 7171597
    Abstract: The I/O compression test circuit performs test on global I/O lines divided into groups after failure occurs, thereby improving repair efficiency. The configuration of the test circuit is simplified by using a reset circuit, reducing the delay time, and thereby decreasing test time. Additionally, two strobe signals enable the I/O compression test circuit to perform a stable operation.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: January 30, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yong Deok Cho
  • Patent number: 7159159
    Abstract: A boundary scan cell for use in a circuit having a boundary scan shift register (BSSR) having boundary scan cells associated with pins of the circuit, the cell having a single-bit shift register element and an associated update latch, comprises a logic circuit for controlling the logic state of an associated pin, analog switches connecting the associated pin to analog test buses, and logic circuitry for selectively configuring the cell in a parametric test mode in which the cell shift register element controls the analog switches, and in a digital test mode in which the cell shift register element controls the logic state of the associated pin.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: January 2, 2007
    Assignee: LogicVision, Inc.
    Inventor: Stephen K. Sunter
  • Patent number: 7155646
    Abstract: Functional circuits and cores of circuits are tested on integrated circuits using scan paths. Using parallel scan distributor and collector circuits for these scan paths improves test access of circuits and cores embedded within ICs and reduces the IC's power consumption during scan testing. A controller for the distributor and collector circuits includes a test control register, a test control state machine and a multiplexer. These test circuits can be connected in a hierarchy or in parallel. A conventional test access port or TAP can be modified to work with the disclosed test circuits.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: December 26, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7155351
    Abstract: A method for checking a microprocessor for correct operation, the microprocessor having a plurality of gates, each having a plurality of transistors, in which during the intended running of a computer program on the microprocessor a self-test is cyclically executed, and as part of the self-test, gates in the microprocessor are checked for correct operation. In order to check the microprocessor for correct operation in such a way that the functional check is able to detect at an early stage such errors which occur only during the intended operation of the microprocessor, and to the extent possible not to make use of models of the open-loop or closed-loop control algorithms, at least those gates of the microprocessor whose state has an impact on the intended running of the computer program on the microprocessor are checked during one run of the self-test.
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: December 26, 2006
    Assignee: Robert Bosch GmbH
    Inventors: Klaus-Peter Mattern, Michael Hering, Werner Harter
  • Patent number: 7152186
    Abstract: A data processing apparatus controls cross-triggering of diagnostic processes on a plurality of processing devices. The data processing apparatus comprises a routing module having a plurality of broadcast channels, one or more of the broadcast channels being operable to indicate the occurrence of a diagnostic event on one or more of the plurality of processing devices. The data processing apparatus also comprises an mapping module associated with a corresponding processing device. The interface module programmably asserts diagnostic event signals from the associated processing device to one or more of the plurality of broadcast channels and programmably retrieves diagnostic events signals from processing devices other than the associated processing device from one or more of the plurality of broadcast channels. The retrieved diagnostic event data is used to facilitate triggering of a diagnostic process on the associated processing device in dependence upon said retrieved diagnostic event data.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: December 19, 2006
    Assignee: ARM Limited
    Inventors: Cédric Airaud, Nicholas Esca Smith, Paul Kimelman, Ian Field, Man Cheung Joseph Yiu, David Francis McHale, Andrew Brookfield Swaine