Structural (in-circuit Test) Patents (Class 714/734)
  • Publication number: 20100077270
    Abstract: Testing a plurality of communication devices. A plurality of signals may be received from the plurality of communication devices. The plurality of signals may include a signal from each of the plurality of communication devices, where a first subset of the plurality of signals has a different frequency than a second subset of the plurality of signals. The received signals may be combined into a combined signal. The combined signal may be downconverted to a combined signal, e.g., by mixing the combined signal with an output from at least one local oscillator. The downconverting may generate a plurality of lower frequency signals, each corresponding to one of the plurality of received signals. Testing may be performed on each of the plurality of lower frequency signals.
    Type: Application
    Filed: September 22, 2009
    Publication date: March 25, 2010
    Inventors: Craig E. Rupp, Richard Henry Mace Keene
  • Patent number: 7676711
    Abstract: A test circuit for testing a command signal at a package level in a semiconductor device includes: a logic level determining unit for determining logic levels of a plurality of command flag signals in response to a plurality of internal command signals in a test mode; a storage unit for storing the plurality of command flag signals in response to a store control signal and outputting the plurality of command flag signals in series in response to an output control signal; and an output unit for driving an output signal of the storage unit to a data pad.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: March 9, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Hong-Sok Choi
  • Patent number: 7673207
    Abstract: A semiconductor device that includes a module under test that is integrated with the semiconductor device, that receives an input signal from a test module, and that provides an output signal to at least one output terminal based on the input signal. An error detecting module is integrated with the semiconductor device, samples values of the output signal, and outputs the sampled values to the test module.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: March 2, 2010
    Assignee: Marvell International Ltd.
    Inventors: Masayuki Urabe, Akio Goto
  • Patent number: 7673193
    Abstract: An apparatus and method for a processor-memory unit for use in system-in-package (SiP) and system-in-package (SiP) integrated circuit devices. The apparatus includes a processing module, a memory module and a programmable system module. The programmable system module is configured to function as an interface between the memory module and the processing module, or as an interface between the memory module and a testing device. The invention facilitates integration and testing of processor-memory units including functional components having different communication protocols.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: March 2, 2010
    Assignee: Rambus Inc.
    Inventors: Adrian E. Ong, Naresh Baliga
  • Patent number: 7673205
    Abstract: According to the present invention, the outputs of the last scanning flip-flop circuits 12 included in scan chains 111 are compiled and compressed in an output compression circuit 112, a sum of the outputs from the scan chains 111 and an expected value written in an expected value storage circuit 113 from the outside are compared with each other in an expected value decision circuit 114, the sum being outputted from the output compression circuit 112, a pass/fail decision result obtained by the comparison can be outputted from an output terminal 116 of the expected value decision circuit 114 to the outside, and the decision result can be stored regardless of the reset of a system.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: March 2, 2010
    Assignee: Panasonic Corporation
    Inventors: Naomi Miyake, Yoshirou Nakata
  • Patent number: 7664999
    Abstract: A system and method to operate an electronic device, such as a memory chip, in a test mode using the device's built-in ODT (on die termination) circuit is disclosed. One or more test mode related signals, which include on-die signals and other relevant information, may be transferred from the integrated circuit of the electronic device to an external processor using the device's ODT circuit instead of the output data signal driver circuit. Therefore, no capacitive loading of output drivers occurs during test mode operations. Thus the speed of the output data path (i.e., the circuit path propagating non-test mode related signals from the electronic device to other external units in the system) is not affected by test mode operations, allowing a system designer to increase the speed of the data output path as much as desired. Further, deterioration in the quality of signals output from the output drivers is also avoided.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: February 16, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Eric J. Stave
  • Patent number: 7661039
    Abstract: A self-synchronizing data bus analyzer is provided which can include a generator linear feedback shift register (LFSR) to generate a first data set, and can include a receiver LFSR to generate a second data set. The data bus analyzer may also include a bit sampler to sample the first data set received through a data bus coupled to the generator LFSR and output a sampled first data set. A comparator can be included to compare the sampled first data set with the second data set generated by the receiver LFSR and provide a signal to the receiver LFSR to adjust a phase of the receiver LFSR until the second data set is substantially the same as the first data set.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gerard Boudon, Didier Malcavet, David Pereira, Andre Steimle
  • Patent number: 7661051
    Abstract: An apparatus comprising a comparator circuit, a reference circuit, a plurality of elements and a logic circuit. The comparator circuit may be configured to generate a difference signal in response to (i) a reference signal and (ii) a test signal. The reference circuit configured to generate the reference signal in response to a first control signal. The plurality of elements may each be configured to generate an intermediate test signal. One of the intermediate test signals may be presented as the test signal by activating one of the test elements, in response to a second control signal. The logic circuit may be configured to generate (i) the first control signal and (ii) the second control signal, each in response to the difference signal.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: February 9, 2010
    Assignee: LSI Corporation
    Inventors: Gurjinder Singh, Ara Bicakci
  • Patent number: 7657803
    Abstract: A memory controller with a self-test function includes a test controlling unit configured to generate test data in a test mode, a data transmission unit configured to generate a data read timing signal to transmit the data read timing signal and the generated test data synchronized with the data read timing signal, and a data input/output (I/O) unit configured to feedback the transmitted test data and the transmitted data read timing signal to the data transmission unit, such that the data transmission unit receives fed-back test data and a fed-back data read timing signal. The data transmission unit reads the fed-back test data based on the fed-back data read timing signal, and the test controlling unit compares the fed-back test data with the generated test data. Therefore, the memory controller may perform a fast self-test.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: February 2, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kwan-Yeob Chae
  • Patent number: 7653849
    Abstract: Integrated circuits may include at least an instruction processor and input-output subsystems. Each input-output subsystem includes a wrapper circuit a wrapper circuit controlled by the instruction processor. The wrapper circuit includes two or more scan registers, where a data value stored in each scan register can be shifted out for analysis. The wrapper circuit also includes two or more update registers to transfer stored data values between itself and an associated scan register. The wrapper circuit also includes a set of combinatorial logic coupled to the scan registers, the update registers and the instruction test processor, wherein at least two I/Os of the plurality of I/Os but less than all of the plurality of I/Os couple to an external tester.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: January 26, 2010
    Assignee: Virage Logic Corporation
    Inventor: Sassan Tabatabaei
  • Patent number: 7650553
    Abstract: An interface test can be performed by, for example, only a self apparatus when interface operation specifications are different between the self apparatus and an original connection partner apparatus. An LSI has a plurality of interfaces (IFs) for transmission/reception of data with an external device, and the LSI includes an emulation control unit for allowing one of the two of the plurality of IFs to perform an operation of emulating an IF of a connection partner device having operation specifications different from those of the LSI, when two IFs are connected to each other via a transmission line.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: January 19, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Kazufumi Komura
  • Patent number: 7650544
    Abstract: Provided is a test mode control circuit capable of preventing an MRS (mode register set) from changing in a test mode exit after a test mode entry. In the test mode control circuit, an MRS controller logically combines an MRS signal, a bank address, an MRS address, and a test mode control signal to output a latch control signal. A test mode control unit detects a test mode entry and a test mode exit to selectively activate one of a test mode set signal and a test mode exit signal, and outputs the test mode control signal having different voltage levels according to an activation state of the test mode set signal or the test mode exit signal. An address latch latches an input address when the MRS signal is activated, and outputs the latched input address as the MRS address when the latch control signal is activated.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: January 19, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ji-Eun Jang, Kee-Teok Park
  • Patent number: 7650555
    Abstract: A test system is disclosed wherein a device under test (DUT) includes a trace logic analyzer (TLA) that receives and stores test data. The test system includes both a master tester and a slave tester. The slave tester operates at a high speed data rate substantially faster than that of the master tester. The master tester instructs the TLA to monitor data that the DUT receives from the slave tester to detect a predetermined data pattern within the data. The slave tester transmits data including the predetermined data pattern to the DUT. The DUT receives the data. When the TLA in the DUT detects the predetermined data pattern in the received data, the TLA stores that data pattern as a stored data pattern. The master tester retrieves the stored data pattern and compares the stored data pattern with the original predetermined data pattern. If the master tester determines that the stored data pattern is the same as the original predetermined data pattern, then the master tester generates a pass result.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kerry Christopher Imming, Resham Rajendra Kulkarni, To Dieu Liang, Sarah Sabra Pettengill
  • Publication number: 20100011265
    Abstract: An integrated circuit chip includes a plurality of two-way transceivers capable of simultaneously transmitting and receiving signals, a switch circuit coupled to the plurality of two-way transceivers and to a given node to provide switchable couplings between the plurality of two-way transceivers and the given node, an interconnection information storage unit to store interconnection information, and a control circuit to set the couplings of the switch circuit in response to the interconnection information.
    Type: Application
    Filed: September 18, 2009
    Publication date: January 14, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Hirotaka Tamura, Masaya Kibune
  • Patent number: 7644310
    Abstract: A semiconductor IC capable of debugging two or more processors at the same time by means of a single debugger and a semiconductor IC test system. The semiconductor IC includes processors operating at different frequencies, a trigger circuit which causes all of the processors to be in a debugging state when one of the processors is in the debugging state, and a JTAG circuit applying a boundary scan operation to the processors connected to a JTAG pin in series.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: January 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Chan Kang, Sun-Kyu Kim
  • Patent number: 7644323
    Abstract: Disclosed is a build-in self-diagnosis and repair method and apparatus in a memory with syndrome identification. It applies a fail-pattern identification and a syndrome-format structure to identify at least one type of faulty syndrome in the memory during a memory testing, then generates and exports fault syndrome information associated with the corresponding faulty syndrome. According to the fault syndrome information, the method applies a redundancy analysis algorithm, allocates spare memory elements and repairs the faulty cells in the memory. The syndrome-format structure respectively applies single-faulty-word-syndrome format, faulty-row-segment-syndrome format, and faulty-column-segment-syndrome format for different faulty syndromes, such as faulty row segments and single faulty words, faulty column segments and single faulty words, all of single faulty words, faulty row segments and faulty column segments, and so on.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: January 5, 2010
    Assignee: Industrial Technology Research Institute
    Inventors: Cheng-Wen Wu, Rei-Fu Huang, Chin-Lung Su, Wen-Ching Wu, Kun-Lun Luo
  • Patent number: 7634702
    Abstract: An integrated circuit apparatus including an improved test circuit and a method of testing the integrated circuit apparatus are provided. The integrated circuit apparatus determines pass or fail of the integrated circuit apparatus itself by comparing internal DQ data output by a core logic circuit with test patterns set by a mode register set (MRS) code or test patterns directly input from an external source.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: December 15, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-beom Kim, Yoon-gyu Song
  • Patent number: 7631231
    Abstract: In one embodiment of the invention, circuitry and hardware for connectivity testing are fabricated on an IC, and in particular an IC containing a flash memory array. This testing circuitry is electrically connected to the bond pads of the IC. In some embodiments, the testing circuitry includes a boundary scan cell connected to each bond pad, allowing for rapid connectivity testing of flash memory chips in accordance with testing standards such as the JTAG standard. The invention further includes methods in which the pins and/or memory cells of a flash memory chip are sequentially sent a series of data so as to test the connectivity of portions of the IC. The sequentially-sent data is then retrieved and compared to the original data. Discrepancies between these sets of data thus highlight connectivity problems in the IC.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: December 8, 2009
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Sang Thanh Nguyen, Hieu Van Tran, Hung O. Nguyen, Phil Klotzkin
  • Publication number: 20090296933
    Abstract: An integrated circuit that includes a controller and multiple internal circuitries, whereas the integrated circuit is characterized by further including a security mode determination unit that includes multiple one time programmable components for defining a security mode out of multiple possible security modes, whereas a selected circuitry mode affects access to an internal circuitry. A method for testing an integrated circuit, the method includes: receiving a request to access an internal circuitry; and responding to the request in view of a defined security mode; whereas the method is characterized by a stage of defining a security mode of a debug circuit out of multiple security modes, whereas the definition is responsive to at least a state of multiple one time programmable components.
    Type: Application
    Filed: November 22, 2004
    Publication date: December 3, 2009
    Applicant: Freescale Semiconductor, Inc.
    Inventors: Dimitri Akselrod, Yossi Amon, Asaf Ashkenazi
  • Patent number: 7627799
    Abstract: A panel driving circuit that produces a panel test pattern and a method of testing a panel are provided. The driving circuit includes a pattern generation unit and a selection unit. The pattern generation unit responds to a system clock and produces pattern test data and pattern test signals. The selection unit responds to a test signal and selects and outputs either (a) the pattern test data and the pattern test signals that are outputted from the pattern generation unit, or (b) the pattern test data and pattern test signals that are directly applied from the outside. The driving circuit and the method of the panel test generates the panel test data, the horizontal synchronizing signal, the vertical synchronizing signal, and the data activating signal within the driving circuit using a system clock so that the testing of the panel can be carried out without using a separate test device.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: December 1, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Sik Kang, Jae-Goo Lee
  • Patent number: 7627798
    Abstract: Systems and methods for performing logic built-in-self-tests (LBISTS) in digital circuits. In one embodiment, the operation of LBIST circuitry is suspended at the end of each test cycle so that the bit patterns generated by the functional logic of the device under test can be examined to determine if any errors occurred during the test cycle. Pseudorandom bit patterns are scanned into the scan chains interposed between portions of the functional logic circuit and then propagated through the functional logic. The resulting bit patterns are captured in scan chains following the functional logic and then scanned out of the scan chains. The bit patterns are processed and compared to corresponding data generated by a parallel LBIST system in a device that is known to operate properly. The LBIST test cycles are then halted if there are errors in the generated bit patterns or resumed if there are no errors.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: December 1, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7620861
    Abstract: Embodiments of an apparatus and method for high-speed testing of a device under test are described herein, where the device under test is coupled to a tester via a limited passband communication channel. A plurality of test vector patterns is generated having characteristics such that when a given test vector pattern is transmitted electrically at a transmission rate via the communication channel, the test vector pattern has a frequency content that is less than the frequency content of a high frequency test vector pattern if the high frequency test vector pattern were to be transmitted electrically at the transmission rate via the communication channel, and such that the frequency content of each test vector pattern when transmitted electrically at the transmission rate via the communication channel falls within the passband associated with the communication channel.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: November 17, 2009
    Assignee: KingTiger Technology (Canada) Inc.
    Inventors: Bosco Chun Sang Lai, Sunny Lai-Ming Chang, Lawrence Wai Cheung Ho
  • Patent number: 7617425
    Abstract: A method and a circuit of testing of a memory interface associated with an embedded memory in a semiconductor circuit involves writing to two memory locations in succession; reading the two memory locations in succession in the same order in which the two memory locations were written; capturing output data from the memory interface; and analyzing captured output data to determine whether said captured output data corresponds to expected data.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: November 10, 2009
    Assignee: LogicVision, Inc.
    Inventors: Benoit Nadeau-Dostie, Jean-François Côté
  • Patent number: 7613599
    Abstract: An integrated design environment (IDE) is disclosed for forming virtual embedded systems. The IDE includes a design language for forming finite state machine models of hardware components that are coupled to simulators of processor cores, preferably instruction set accurate simulators. A software debugger interface permits a software application to be loaded and executed on the virtual embedded system. A virtual test bench may be coupled to the simulation to serve as a human-machine interface. In one embodiment, the IDE is provided as a web-based service for the evaluation, development and procurement phases of an embedded system project. IP components, such as processor cores, may be evaluated using a virtual embedded system. In one embodiment, a virtual embedded system is used as an executable specification for the procurement of a good or service related to an embedded system.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: November 3, 2009
    Assignee: Synopsys, Inc.
    Inventors: Stephen L Bade, Shay Ben-Chorin, Paul Caamano, Marcelo E Montoreano, Ani Taggu, Filip C Theon, Dean C Wills
  • Patent number: 7610537
    Abstract: A computer implemented method, data processing system, and computer usable code are provided for testing multi-core microprocessors. A test process initiates testing on communication bus interfaces associated with a set of processor cores on the multiprocessor in which the communication bus interfaces are disabled and wherein the testing uses a set of isolation test sequences to obtain results. The process identifies a set of functional processor cores in the set of processor cores based upon the results. The process also initiates a ramp logic built-in self-test to test a ramp associated with a functional processor core in the set of functional processor cores, wherein the ramp logic built-in self-test determines if the communication bus interface associated with functional processor core in the set of functional processor cores is functional.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: October 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Dan Jeffrey Dickinson, Robert D. Kenney, Christina Lynne Newman-LaBounty, Ronald Gene Walther
  • Patent number: 7610538
    Abstract: A test apparatus being capable of replacing a test module with the other kind of test module that tests device under tests by using the test module is provided. The test apparatus includes a plurality of test modules that transmit/receive signals to/from the device under tests to test the device under test; and a performance board for diagnosis that diagnose the plurality of test modules. The performance board for diagnosis including: a motherboard provided common to the plurality of test, modules; a circuit for diagnosis that transmits/receives a signal to/from each test module to diagnose the test module; a plurality of inter-board to module connectors that connect between the corresponding test module and the circuit for diagnosis; and plurality of sub-boards each of which has at least one of the inter-board to module connectors and is fixed to the motherboard to fix the inter-board to module connectors to the motherboard.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: October 27, 2009
    Assignee: Advantest Corporation
    Inventor: Satoshi Iwamoto
  • Patent number: 7603598
    Abstract: A semiconductor device for testing a semiconductor process applied to manufacturing the semiconductor device is disclosed. The semiconductor device includes at least a testing group. The testing group includes a first testing block and a second testing block. The first testing block includes: a first input node; a first output node; a plurality of first selecting nodes; a first reference device, coupled to the first input node and the first output node; and a first target device, coupled to the first selecting nodes and the first output node. The second testing block includes: a second input node; a second output node; a plurality of second selecting nodes; a second reference device, coupled to the second input node and the second output node; and a second target device, coupled to the second selecting nodes and the second output node.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: October 13, 2009
    Assignee: Faraday Technology Corp.
    Inventors: Chia-Nan Hong, Yi-Hua Chang, Chin-Yi Chang
  • Patent number: 7599826
    Abstract: A system for generating various simulation conditions for simulation analysis is disclosed. The system includes: a signal generating module (301) for generating an N-bit binary sequence consisting of “1” and “0” according to signal source parameters; a application module (302) for applying the N-bit binary sequence to generate the various simulation conditions according to control parameters; a noise generating module (303) for generating N influence values of Gauss noises with N standard deviations to N signal bit-widths; and an addition module (304) for adding the Gauss noises to corresponding digital waveform positions of the generated simulation conditions. A related method is also disclosed.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: October 6, 2009
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Shou-Kuo Hsu, Cheng-Shien Li
  • Patent number: 7596737
    Abstract: This invention discloses a system and method for testing a plurality of state retention circuits in an integrated circuit (IC) chip, that comprises a built-in test circuit configured to invoke a clock, a save and a restore signal, and a plurality of serially connected data latches receiving the clock, save and restore signals, wherein each data latch employs one of the plurality of state retention circuits, wherein the plurality of data latches save their existing data in their corresponding state retention circuits upon an assertion of the save signal, restore the data from the plurality of state retention circuits back to their corresponding data latches upon an assertion of the restore signal, and shifting the existing data along the series of the data latches one latch a cycle of the clock signal.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: September 29, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Hsing Wang, Lee-Chung Lu
  • Patent number: 7594150
    Abstract: A method and apparatus for a structure of a flip-flop that is tolerant to the noise pulses occurring due to the presence of crosstalk faults by sampling the input data multiple times before and after the active clock edge. The final stored value at the flip-flop is determined by the resolution of a counter circuit residing in the flip-flop, which is activated at the change of the sampled input data. This counter based resolution mechanism allows for the detection and filtering of the noise pulse induced at the input of the flip-flop due to a crosstalk fault.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: September 22, 2009
    Assignees: Alcatel-Lucent USA Inc., Rutgers, The State University of New Jersey
    Inventors: Tapan Jyoti Chakraborty, Aditya Jagirdar, Roystein Oliveira
  • Patent number: 7590911
    Abstract: An integrated circuit includes a first deserializer that deserializes serial data containing at least one of test instructions and/or data in a first format. A monitor module communicates with the first deserializer and interprets the test instructions and data using the first format. A frame capture module receives test results according to the interpreted test instructions and data. A first control module communicates with the frame capture module and generates first format control data. The frame capture module packages the test results and the first format control data into frames. A first serializer serializes the frames.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: September 15, 2009
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7590910
    Abstract: An architecture for testing a plurality of circuits on an integrated circuit is described. The architecture includes a TAP Linking Module located between test pins on the integrated circuit and 1149.1 Test Access Ports (TAP) of the plurality of circuits to be tested. The TAP Linking Module operates in response to 1149.1 scan operations from a tester connected to the test pins to selectively switch between 1149.1 TAPs to enable test access between the tester and plurality of circuits. The TAP Linking Module's 1149.1 TAP switching operation is based upon augmenting 1149.1 instruction patterns to affix an additional bit or bits of information which is used by the TAP Linking Module for performing the TAP switching operation.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: September 15, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Baher S. Haroun, Lee D. Whetsel
  • Patent number: 7590912
    Abstract: The chip is placed in self simulation mode. When the trace logic does not have any more data to output it changes the state of the advance signal. The clock generator detects this state change and issues one gated clock to the functional logic. This creates a new CPU state and causes the change signal to toggle, and the trace logic notes the state change in the signal. It then exports the internal state presented to it. Once it completes the export, it changes the state of advance and the process begins anew.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: September 15, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Patent number: 7590909
    Abstract: An in-circuit testing system comprises an integrated circuit having a tri-state control pin used for inducing a tri-state mode in the integrated circuit during a scan test of the integrated circuit for controlling a time period for outputting a value associated with the scan test.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: September 15, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Fred Hartnett
  • Patent number: 7583087
    Abstract: In accordance with the invention, a testing circuit formed on the integrated circuit is presented. A testing circuit according to the present invention includes an input circuit coupled to a parameter testing circuit and an output driver coupled to the parameter testing circuit. Embodiments of the parameter testing circuit can include circuits for testing process, device, and circuit characteristics of the integrated circuit. Further, some embodiments of the testing circuit can be included in a scan path system where sequences of various testing circuits are included. Further, test parameters obtained from the parameter testing circuits can be utilized to adjust operating parameters of the integrated circuit.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: September 1, 2009
    Assignee: Integrated Device Technology, inc.
    Inventors: David J. Pilling, Cesar Talledo
  • Patent number: 7581152
    Abstract: A method for a fault free store data path in a software implementation of redundant multithreading environments is described. In one embodiment, after a check is performed by a hardware/software checker, the processor still needs to ensure that the data just checked reaches protected memory without any faults. The present implementation provides sufficient redundant information along the path of a store from register read to commit, such that it may detect any single bit upset error in the path.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: August 25, 2009
    Assignee: Intel Corporation
    Inventors: Shubhendu S. Mukherjee, Robert Cohn
  • Patent number: 7577887
    Abstract: A JTAG interface device capable of effectively debugging a mobile terminal by interfacing the mobile terminal with a JTAG emulator without an additional interface unit by allocating test pins of the JTAG emulator to some pins of a receptacle and then electrically connecting the test pins to the pins, and a method thereof. Accordingly, an operation for debugging the mobile terminal can be easily and effectively performed.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: August 18, 2009
    Assignee: LG Electronics Inc.
    Inventor: Bong-Su Kim
  • Patent number: 7574634
    Abstract: A system and method to operate an electronic device, such as a memory chip, in a test mode using the device's built-in ODT (on die termination) circuit is disclosed. One or more test mode related signals, which include on-die signals and other relevant information, may be transferred from the integrated circuit of the electronic device to an external processor using the device's ODT circuit instead of the output data signal driver circuit. Therefore, no capacitive loading of output drivers occurs during test mode operations. Thus the speed of the output data path (i.e., the circuit path propagating non-test mode related signals from the electronic device to other external units in the system) is not affected by test mode operations, allowing a system designer to increase the speed of the data output path as much as desired. Further, deterioration in the quality of signals output from the output drivers is also avoided.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: August 11, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Eric J. Stave
  • Patent number: 7571366
    Abstract: A method of causing an interface to implement a mode from a plurality of selectable modes in which the interface operates according to a plurality of states defined by a state machine comprises sequencing through a sequence of the states, and detecting a predetermined sequence of the states. The predetermined sequence of the states represents a no-operation for at least one of the modes and also represents a mode change command.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: August 4, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Patent number: 7571367
    Abstract: A self diagnosis (BISD) device for a random memory array, preferably integrated with the random access memory, executes a certain number of predefined test algorithms and identifies addresses of faulty locations. The BISD device recognizes certain fail patterns of interest and generates bit-strings corresponding to them. In practice, the BISD device may diagnose memory arrays and allow the identification of defects in the production process that affect a new technology during its learning phase, thus accelerating its maturation.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: August 4, 2009
    Assignee: STMicroelectronics S.r.l.
    Inventors: Carolina Selva, Rita Zappa, Danilo Rimondi, Cosimo Torelli, Giovanni Mastrodomenico
  • Patent number: 7568141
    Abstract: The inputs to an embedded core, e.g., the core terminals, may not be directly connected to pins on the SoC. The lack of direct access to an embedded core's terminals may complicate testing of the embedded core. A test wrapper including boundary scan test (BST) cells may be used to test an embedded core. Dual function BST/ATPG (Automatic Test Pattern Generation) cells may be used to perform both BST and ATPG tests on embedded cores.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: July 28, 2009
    Assignees: Intel Corporation, Analog Devices, Inc.
    Inventors: Sankaran M. Menon, Luis A. Basto, Tien Dinh, Thomas Tomazin, Juan G. Revilla
  • Patent number: 7562276
    Abstract: An integrated circuit (IC) comprises an embedded processor. An embedded in-circuit emulator (ICE) emulates at least one function of the embedded processor, performs at least one of testing and debugging on the IC, and generates testing results based on the at least one of the testing and the debugging. A serializer located on the IC receives the testing results from at least one of the embedded ICE and the embedded processor, serializes the testing results, and serially outputs the testing results from the IC.
    Type: Grant
    Filed: May 7, 2007
    Date of Patent: July 14, 2009
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho
  • Patent number: 7557597
    Abstract: The present invention is directed to an integrated circuit module device. The device includes a first semiconductor chip having a first circuit layer and at least one first interconnection element disposed on a first chip surface. The at least one first interconnection element is electrically coupled to the first circuit layer. A second semiconductor chip includes a second circuit layer and at least one second interconnection element disposed on a second chip surface. The at least one second interconnection element is electrically coupled to the second circuit layer. The at least one first interconnection element is connected to the at least one second interconnection element to establish electrical continuity between the first circuit layer and the second circuit layer. The first surface is adjoined to the second surface. At least one ring delay circuit includes a first ring delay path partially disposed on the first circuit layer and a second ring delay path partially disposed on the second circuit layer.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: July 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edmund Juris Sprogis
  • Publication number: 20090158107
    Abstract: A System-on-Chip (SOC) integrated circuit (IC) debugging system includes a plurality of SOC ICs connected to a shared debug bus. One of the plurality of SOC ICs is a master SOC IC having a master/slave debug interface and a user interface. The master/slave debug interface on the master SOC IC is a bidirectional debug interface operable to send and receive debug data between the SOC ICs and an external host system. The host system is connected to the at least one of the plurality of SOC ICs via the user interface.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 18, 2009
    Applicant: Infineon Technologies AG
    Inventor: ALBRECHT MAYER
  • Patent number: 7549098
    Abstract: A method for implementing redundancy programming in a memory macro of an integrated circuit chip. It is assumed that all fails are row fails until determined to be bitline fails, test patterns are passed back to the failure detecting circuit when a wordline destination of the test patterns has previously been determined to be failing, and the test patterns and resultant patterns are passed between the memory macro and a test engine via logic paths connecting the memory macro to other circuits in said integrated circuit chip.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: June 16, 2009
    Assignee: International Business Machines Corporation
    Inventors: John Edward Barth, Kevin William Gorman
  • Patent number: 7548472
    Abstract: A semiconductor integrated circuit device includes a plurality of memory macros, macro-common register block, and memory macro operation setting circuits. The macro-common register block has macro-common registers which are provided outside the plurality of memory macros and supply memory macro operation specifying signals to the plurality of memory macros. The memory macro operation setting circuits are respectively provided in the plurality of memory macros and are each configured to set an operating state of the memory macro in response to the memory macro operation specifying signal supplied from the macro-common register.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: June 16, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ryo Fukuda
  • Publication number: 20090150734
    Abstract: The present invention discloses a tri-state I/O port. The tri-state I/O port comprises a tri-state logic block, a weak buffer and a delay block. The input terminals of the tri-state logic block are connected to data and OE (output enable) signals. When OE signal is enabled, the output terminal of the tri-state I/O block is pulled high when the data is high while the output terminal is pulled low when the data is low. The input terminal and the output terminal of the weak buffer are connected to the output terminal of the tri-state logic block. And the input terminal of the delay block is connected to the output terminal of the tri-state logic block while the output terminal of the delay block is fed back to the tri-state logic block. When the output terminal of the tri-state logic block is low to high/high to low, the weak buffer is active and maintains the output terminal of the tri-state logic block weak high/low while the delay block turns off the pull high/low function of the tri-state logic block.
    Type: Application
    Filed: May 8, 2008
    Publication date: June 11, 2009
    Applicant: RDC SEMICONDUCTOR CO., LTD.
    Inventor: Shih-Jen CHUANG
  • Publication number: 20090150733
    Abstract: A test apparatus that tests a device under test is provided, including a driver section that supplies a test signal to a corresponding pin of the device under test, a judgment section that makes a judgment concerning pass/fail of the device under test based on the response signal output by the device under test in response to the test signal, a voltage measuring section that detects a DC voltage of the signal output by the driver section, and an output side adjusting section that adjusts a duty ratio of the signal output by the driver section according to the DC voltage detected by the voltage measuring section.
    Type: Application
    Filed: December 6, 2007
    Publication date: June 11, 2009
    Applicant: ADVANTEST CORPORATION
    Inventor: Shigeki Takizawa
  • Publication number: 20090150728
    Abstract: Tracing of test information from a hardware device for debugging is formatted for transmission via a high-speed serial protocol. Data from various components in the hardware device is transmitted to an external test board using high speed serial ports. The number of serial ports needed for data transfer is significantly less than a complimentary parallel port configuration. Additional functional blocks on the chip process the data for high speed serial output. The functional blocks format information into subchannels, arbitrate data, append protocol, perform data integrity checks, and serialize the data. The additional blocks built on the chip to support the serial ports consume less chip space than the space consumed by the number of parallel ports required to provide equivalent data transfer rates. The process operates in near real time and may use time stamping to correlate and reconstruct data from different information sources.
    Type: Application
    Filed: December 6, 2007
    Publication date: June 11, 2009
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Howard Barlow, Daniel Nylander, Robert Metz
  • Patent number: 7546505
    Abstract: A built in self test circuit in a memory matrix. Memory cells within the matrix are disposed into columns. The circuit has only one memory test controller, adapted to initiate commands and receive results. Transport controllers are paired with the columns of memory cells. The controllers receive commands from the memory test controller, test memory cells within the column, receive test results, and provide the results to the memory test controller. The transport controllers operate in three modes. A production testing mode tests the memory cells in different columns, accumulating the results for a given column with the controller associated with the column. A production testing mode retrieves the results from the controllers. A diagnostic testing mode tests memory cells within one column, while retrieving results for the column.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: June 9, 2009
    Assignee: LSI Corporation
    Inventors: Sergey Gribok, Alexander Andreev, Ivan Pavisic