Structural (in-circuit Test) Patents (Class 714/734)
  • Patent number: 7541815
    Abstract: A testing apparatus tests the performance of an electronic device having an operation circuit for providing a useful output signal. A demodulator configured to provide a phase or frequency demodulated signal related to the output of the operation circuit is packaged with the operation circuit. The gain of the demodulator is controllable from outside the package. The testing apparatus analyses the demodulated signal and controls the gain of the demodulator.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: June 2, 2009
    Assignee: Advantest Corporation
    Inventors: Kiyotaka Ichiyama, Masahiro Ishida, Takahiro Yamaguchi
  • Patent number: 7539913
    Abstract: Circuit and method for testing digital logic circuit modules of an integrated circuit chip. The circuit includes a storage device, a first multiplexing module and a selection device. The storage device stores first, second, third and fourth N-bit groups of a test pattern separately according to a loading signal and an address selection signal. The first multiplexing module is coupled to the storage device and a first digital logic circuit module, for parallel transmitting the first, second, third and fourth N-bit groups which will be received and executed by the first digital logic circuit module to parallel generate first, second and third M-bit groups. The selection device is coupled to the first digital logic circuit module for sequentially selecting one of the first, second and third M-bit groups to output a first test result according to the address selection signal.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: May 26, 2009
    Assignee: Via Technologies, Inc.
    Inventors: Chien-Cheng Chang, Cheng-Yuan Wu
  • Patent number: 7536619
    Abstract: Since fault detection is not conducted for the address other than the noted address or the expected value other than the noted expected value in the RAM test, generation of a fault can be discriminated easily for the predetermined noted address or noted expected value when a fault is detected. Moreover, since the noted address is set as a single address but as the predetermined address range, when a fault is generated after the test for the relevant address range, the total number of times of test can be controlled by conducting the screening test for the address range where a fault is generated.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: May 19, 2009
    Assignee: Fujitsu Limited
    Inventors: Yoshihiko Satsukawa, Hisashi Watanabe
  • Patent number: 7533315
    Abstract: An integrated circuit comprises a test interface, an embedded in-circuit emulator, a circuit-under-debugging, and a memory. The embedded in-circuit emulator is used for software debugging via the test interface. The circuit-under-debugging comprises a scan chain dumping states of every delayed flip-flop (DFF) out of the circuit-under-debugging. The memory stores the states from the scan chain and transfers the states to a computer via the test interface.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: May 12, 2009
    Assignee: Mediatek Inc.
    Inventors: I-Chieh Han, You-Ming Chiu
  • Patent number: 7529997
    Abstract: An apparatus and method for protecting a computer system from array reliability failures uses Array Built-In Self-Test logic along with code and hardware to delete cache lines or sets that are defective, identify corresponding fuse repair values, proactively call home if spare fuses are not available, schedule soft fuse repairs for the next system restart, schedule line deletes at the next restart, store delete and fuse repairs in a table (tagged with electronic serial id, timestamp of delete or ABIST fail event, address, and type of failure) and proactively call home if there were any missed deletes that were not logged. Fuse information can also be more permanently stored into hardware electronic fuses and/or EPROMs. During a restart, previous repairs are able to be applied to the machine so that ABIST will run successfully and previous deletes to be maintained with checking to allow some ABIST failures which are protected by the line deletes to pass.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Patrick J. Meaney, William V. Huott, Thomas J. Knips, David J. Lund, Bryan L. Mechtly, Pradip Patel
  • Patent number: 7529999
    Abstract: An integrated circuit arrangement including at least one circuit part which is designed to run through a functional self test and to output test results of the functional self test, and a testing unit, which is coupled to an input and an output and which is coupled to the at least one circuit part via testing lines. The testing unit is designed to start the functional self test when a starting signal for the functional self test is applied to the input, to evaluate test results that are present to determine whether they have a predefined relationship with predefined values, and to output data indicating the test result at the output. The testing unit is also designed to start the functional self test by internal circuit means and to evaluate the test results present.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: May 5, 2009
    Assignee: Infineon Technologies AG
    Inventors: Marcus Janke, Dirk Rabe, Steffen M. Sonnekalb
  • Patent number: 7525319
    Abstract: A method of electrically qualifying high speed printed circuit board (PCB) connectors includes mounting a PCB connector on a test card, sending bit patterns through a first portion of the test card, evaluating a waveform on a sense signal on a second portion of the test card for the bit patterns launched on said first portion of the test card to measure common mode noise, and comparing the measured common mode noise of the second portion of the test card to a golden standard performed on a pre-qualified connector. The first portion of the test card comprises connectors to inject bit patterns. The second portion of the test card includes a split plane which induces common mode noise on a sense signal, the sense signal, and a termination pack. If the measured common mode noise on the PCB connector is worse than the golden standard, then the PCB connector is disqualified. If the measured common mode noise on the PCB connector is as good as or better than the golden standard, then the PCB connector is qualified.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: April 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Rubina Firdaus Ahmed, Moises Cases, Bradley Donald Herrman, Kent Barclay Howieson, Bhyrav Murthy Mutnury, Pravin Patel, Peter Robert Seidel
  • Patent number: 7526701
    Abstract: A method of measuring group delay of a device under test is provided. The method includes the steps of providing an analog input signal with a predetermined period to the device under test to obtain a delayed output signal from the device under test, converting the analog input signal and the delayed output signal into first and second digital signals, generating a phase difference signal indicative of a phase difference between the first and the second digital signals, and determining the group delay of the device under test based on the predetermined period and average signal level of the phase difference voltage and average signal level of the first digital signal.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 28, 2009
    Assignee: MediaTek Inc.
    Inventors: Ching-san Wu, Chien-ming Chen
  • Patent number: 7523010
    Abstract: A method for automatically inserting connectors and coupling test probes to circuit boards, such as computer system boards and the like. The method is implemented via an apparatus that enables connectors to be automatically inserted into mating connectors on a circuit board device under test (DUT). Connectors may be automatically inserted along 1-4 axes. The apparatus includes replaceable probe/connector plates that are DUT-type specific, as well as DUT-type specific side access units. The apparatus may also be used for inserting memory devices and microprocessors, and further enables peripheral devices to be operatively coupled to expansion bus connectors on the DUT. In one embodiment, a single actuator is employed to actuate up to four insertion axes simultaneously.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: April 21, 2009
    Assignee: Intel Corporation
    Inventors: Thomas A. Repko, Frank W. Joyce, Teik Sean Toh, Douglas P. Kreager
  • Publication number: 20090100306
    Abstract: An MC 10 includes a universal asynchronous receiver transceiver UART as a communication circuit. A tester (6) includes a serial communication interface (61). By serial communication between these two, the operation of an electronic unit 1 is tested. A common output circuit (31) is provided as an output circuit of the UART 11. This common output circuit (31) is a circuit which doubles as one of output circuits of the MC 10, one end thereof is connected to a UART port and another general port of the MC 10 and the other end thereof is connected to a terminal connected to a load (7).
    Type: Application
    Filed: October 6, 2008
    Publication date: April 16, 2009
    Applicant: Sumitomo Wiring Systems, Ltd.
    Inventors: Yoshiaki Sugimura, Tsuyoshi Hosono
  • Patent number: 7519886
    Abstract: We describe, in exemplary embodiments, an on-chip Functional Built-In Self Test (“FBIST”) mechanism for testing integrated circuits with internal memory state and complex transaction based interfaces. Such interfaces include system-on-chip applications, memory chip applications, and input/output (“IO”) protocol adapter chips.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Michael M. Tsao, R. Brett Tremaine
  • Patent number: 7519889
    Abstract: A method to reduce logic built in self test manufacturing test time of integrated circuits, comprising: loading a plurality of test seeds in bulk into a locally accessible on-chip memory array locally disposed on an integrated circuit, each of the plurality of test seeds is associated with a set of LBIST control information; sending the plurality of test seeds from the locally accessible on-chip memory array repetitively into a pseudo-random pattern generator one at a time during an LBIST operation being under the control from the set of LBIST control information; generating random bit streams serially into a plurality of parallel shift registers of the integrated circuit through the use of the plurality of test seeds; and performing a logic built-in self test on a plurality of logic blocks in the integrated circuit to detect defects within the integrated circuit.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Daniel W. Cervantes, Joshua P. Hernandez, Tung N. Pham, Timothy M. Skergan
  • Patent number: 7519890
    Abstract: A method based on a circuit coupled to an input-output bond pad (I/O pad) in an integrated circuit including an input buffer, an output buffer and a pad management circuit. The pad management circuit receives a first data signal, a first output enable signal, and a configuration signal indicative of the connection state of the I/O pad, and generates a second data signal and a second output enable signal. When the configuration signal indicates the I/O pad is to be connected to a package pin, the pad management circuit couples the first data signal as the second data signal and couples the first output enable signal as the second output enable signal. When the configuration signal indicates the I/O pad is to be left unconnected, the pad management circuit asserts the second output enable signal and generates the second data signal having a predetermined value.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: April 14, 2009
    Assignee: Micrel, Inc.
    Inventor: Peter Chambers
  • Patent number: 7516376
    Abstract: A test circuit tester includes a scan-chain input-output information generator that generates information for an input and an output of the scan chain that is scan-chain input-output information, based on input information for the scan chain; a test-circuit input-output information generator that generates information for an input and an output of the test circuit that is test-circuit input-output information, based on the scan-chain input-output information; an output unit that outputs the test-circuit input-output information generated; and a verifying unit that verifies the test circuit based on an output pattern output from the test circuit through the scan chains in response to input of the information for the input of the test circuit output to the test circuit, and the information for the output from the test circuit.
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: April 7, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Osamu Okano, Hideaki Konishi
  • Publication number: 20090083599
    Abstract: In one embodiment, the present invention includes first level matrices, each including m input terminals and n output terminals, each coupled to a processor core, and second level matrices each coupled to the n output terminals of one of the first level matrices, where each of the second level matrices has n input terminals and p output terminals, and the p output terminals of the second level matrices correspond to a compacted output from the multiple processor cores. Other embodiments are described and claimed.
    Type: Application
    Filed: September 25, 2007
    Publication date: March 26, 2009
    Inventors: Kee Sup Kim, Ming Zhang, Avi Kovacs
  • Patent number: 7509547
    Abstract: Methods and systems provide for early and simplified testing for defects in the interconnects of a programmable logic device (PLD) and in associated software tools. Data that describes the interconnects are read from a database for the PLD. For each interconnect, a respective test design is automatically generated with the test design replacing a portion of a coupling between an input pad and an output pad in an archetypal test design with a coupling that includes the interconnect. A respective configuration is automatically generated for the PLD from each test design. A respective operation of the PLD programmed with each configuration is simulated, and each operation of the PLD for is checked inconsistency with an expected result. In response to any inconsistency, an indication of the inconsistency is displayed to a user.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: March 24, 2009
    Assignee: Xilinx, Inc.
    Inventors: Ui Sun Han, Walter N. Sze
  • Patent number: 7506226
    Abstract: A memory device includes an ECC and test circuit. In a normal mode, the circuit performs ECC conventional functions. In a test mode, the least significant bit of received data is used to generate test data. If the received bit is “0,” the test data bits are all “0,” and if the received bit is “1,” the test data bits are all “1.” The test data bits are applied to the ECC encoder that is used in normal operation. The ECC encoder is designed so that it generates ECC bits that have the same logic level as the test data bits. The test data bits and ECC bits are then written to a memory array and subsequently read. During the test mode, a logic circuit determines if the read data and check bits are all either “0” or “1” and outputs a corresponding test result bit from the memory device.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: March 17, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Partha Gajapathy, Todd Dauenbaugh
  • Patent number: 7506234
    Abstract: A signature circuit in a semiconductor chip includes a signature program circuit configured to be programmed with signature information and to output a signature signal in response to the signature information; a signature output circuit configured to block the signature signal output by the signature program circuit during operation in a normal mode, and configured to pass the signature signal during operation in a test mode; and a pad-driving transistor directly coupled to the pad, configured to drive the pad during operation in the normal mode in response to an operation command, and configured to drive the pad during operation in the test mode in response to the signature signal output by the signature output circuit. The signature circuit outputs the signature information through a transistor for adjusting impedance to reduce a chip size by omitting an additional logic circuit for the signature circuit.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: March 17, 2009
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Yu-Lim Lee, Sung-Hoon Kim
  • Patent number: 7506222
    Abstract: A system for phase tracking and equalization across a byte group for asymmetric control of high-speed bidirectional signaling includes a slave device and a master device that is coupled to the slave device via a plurality of bidirectional data paths. The master device may adaptively modify transmit characteristics based upon data eye information sent via one or more unidirectional data paths by the slave device. The data eye information may correspond to an edge position of data signal transitions received by the slave device on each data path of the plurality of bidirectional data paths. In addition, the master device may modify data path equalization coefficients within the master device for a grouping of the bidirectional data paths such as a byte group, for example, dependent upon the data eye information.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: March 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Gerald R. Talbot
  • Patent number: 7500162
    Abstract: An integrated circuit with a multiplexer system and a control circuit is described. The multiplexer system has an output terminal connected to an output pin of the integrated circuit and input terminals connected to internal nodes of the integrated circuit. In a normal mode the control circuit generates the control signals so that any one of the internal nodes is connected to the output pin so that the integrated circuit can function flexibly. In a test mode so that a different internal node is connected to the output pin in each cycle of a test clock signal.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: March 3, 2009
    Assignee: CPU Technology, Inc.
    Inventor: Alan G. Smith
  • Publication number: 20090055696
    Abstract: Built-in self-test (BIST) microcontroller integrated circuit adapted for logic verification. Microcontroller includes a plurality of hardware description language files representing a hierarchical description of the microcontroller, the plurality of hardware description language files including a library of circuit design elements, a plurality of library design circuit elements adapted to store a uniquely defined set of input and output signals to enable a logic BIST, and a plurality of latches adapted to store a plurality of values corresponding to a behavioral profile of a test clock.
    Type: Application
    Filed: November 11, 2008
    Publication date: February 26, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Gary D. Grise, David E. Lackey, Steven F. Oakland, Donald L. Wheater
  • Patent number: 7496813
    Abstract: An integrated circuit 2 including functional circuits 4, 6 and a diagnostic circuit 10 passes a functional signal and a diagnostic signal to/from the integrated circuit using a shared integrated circuit pin 14. The functional signal and the diagnostic signal have relative forms such that they can be simultaneously communicated and respective independent physical communication channels provided therefore. Examples are the diagnostic signal being used to frequency, phase, amplitude or otherwise modulate a functional signal being passed. A diagnostic interface circuit 18 is provided to recover the diagnostic signal from the combined functional and diagnostic signal or to combine the functional and diagnostic signals.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: February 24, 2009
    Assignee: ARM Limited
    Inventors: Thomas Sean Houlihane, George James Milne
  • Patent number: 7496819
    Abstract: A method and system for testing a memory controller are provided herein. A test sequence may be generated within the memory controller. A test output may also be generated within the memory controller, where the test output is associated with the test sequence. The test output may then be verified. The test sequence may comprise one or more of a control command, a memory address, and/or a DQM signal. The test output may be generated by a sequencer. The test output may be verified by a cyclic redundancy check (CRC) module. The test sequence may also comprise random write data. The random write data may be communicated to a memory controller write data output via a write data bus.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: February 24, 2009
    Assignee: Broadcom Corporation
    Inventors: Sathish Kumar, Lakshmanan Ramakrishnan, Lionel D'Luna
  • Patent number: 7496812
    Abstract: An interface that communicates with first and second interface modules, an analyzer and an integrated circuit comprises a first path from the first and second interface modules and the analyzer to the integrated circuit. The first path includes a first serializer that serializes at least one of first control data and/or test data from at least one of the first and/or second interface modules. A second path from the integrated circuit to the first and second interface modules and the analyzer includes a high speed deserializer that deserializes serial data containing at least one of test result data and/or second control data from the integrated circuit. A frame sync module synchronizes data from the high speed deserializer to identify frames. The high speed deserializer outputs the second control data to at least one of the first and/or second interface modules. The frame sync module outputs the frames to the analyzer.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: February 24, 2009
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7496818
    Abstract: A system is provided that retrieves test information from a target integrated circuit. A serializer receives the test information in a first format and divides and reformats the test information into first and second serial messages. The serializer is located on the target integrated circuit and has a first serial output that sends the first serial message and a second serial output that sends the second serial message. A deserializer communicates with the first and second serial outputs and receives the first and second serial messages. The deserializer retrieves a first portion of the test information from the first serial message, a second portion of the test information from the second serial message, and reconstructs the test information from the first portion and the second portion.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: February 24, 2009
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7493530
    Abstract: An error detector for a pseudo-random bit sequence (PRBS). A plurality of bits of a PRBS are received in a predictor circuit. A comparator compares two of the bits to predict a next bit in the sequence. The predicted next bit is compared with the actual next bit that is received to determine if there is an error in the actual next bit, and if so, the actual next bit is corrected accordingly. The erroneous actual next bit is replaced with the corrected actual next bit and is then used to predict a future actual next bit. A trigger circuit delays correction during initial operation until the predictor contains a bit sequence in which no errors have been detected.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: February 17, 2009
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventor: Tong Tee Tan
  • Patent number: 7490276
    Abstract: Testing one or more memories of a device includes receiving one or more first repair records from one or more built-in self-testers of a device having one or more memories. A built-in self-tester is associated with a memory, and a first repair record describes a first repair at a memory. A first repair signature corresponding to the first repairs at the memories is generated from the first repair records, and then is recorded. One or more second repair records are received from the built-in self-testers, where a second repair record describes a second repair at a memory. A second repair signature corresponding to the second repairs at the memories is generated from the second repair records. The second repair signature is compared with the first repair signature. The device is evaluated in response to the comparison.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: February 10, 2009
    Assignee: Cisco Technology, Inc.
    Inventors: Christopher E. White, Steven C. McMahan, John K. Eitrheim
  • Patent number: 7487412
    Abstract: A boundary scan test system including a transmitter and a receiver. The system performs DC and AC boundary scan testing of the interconnections between devices. The system addresses fault masking that can occur during testing. Of concern are AC coupled interconnections while providing IEEE 1149.1 DC test compatibility. The test receiver includes an input test buffer and an interface mechanism. The input test buffer has a built-in null detection capability. The interface mechanism includes a technology mapper, one or more detectors, and an integrator. The receiver provides at least partial, if not complete, coverage for at least one of five fault syndromes that can result from single defect conditions in the system.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: February 3, 2009
    Assignee: Cisco Technology, Inc.
    Inventors: Sang Hyeon Baeg, Sung Soo Chung
  • Patent number: 7484135
    Abstract: A semiconductor device includes a circuit block; a first signal path for guiding a test signal to a signal input terminal of the circuit block; a second signal path for guiding a test clock to a clock input terminal of the circuit block; a third signal path for guiding a test output signal from a output terminal of the circuit block to a pad via a dummy latch; and a fourth signal path for guiding the test output signal from the output terminal of the circuit block, to another pad. A dummy latch latches the test output signal at substantially a same speed as an operational latch during a normal operation. The third signal path has a wiring delay time from the output terminal to the dummy latch that is substantially equal to a wiring delay time from the output terminal to the operational latch.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: January 27, 2009
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Katsuaki Matsui
  • Patent number: 7484140
    Abstract: A memory (10) has a memory array (12), a charge pump (18), a voltage regulator (20), a refresh control circuit (16), and a refresh counter (22). The charge pump (18) provides a substrate bias to the memory array (12). The voltage regulator (20) provides a pump enable signal for maintaining a voltage level of the substrate bias within upper and lower limits. The refresh control circuit (16) controls refresh operations. The refresh counter (22) is coupled to receive the pump enable signal, and in response, provides a refresh timing signal to the refresh control circuit (16) to control a refresh rate of the memory array (12). A programmable fuse circuit (26) is provided to program the refresh rate using the counter (22). The programmable fuse circuit (26) may be programmed during wafer probe testing or board level burn-in. A built-in self test (BIST) circuit (24) may be included to facilitate testing.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: January 27, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Perry H. Pelley, John M. Burgan
  • Patent number: 7480882
    Abstract: This embodiment replaces the use of LBIST to get a pass or no-pass result. A selective signature feature is used to collect the top failing paths, by shmooing the chip over a cycle time. These paths can be stored on-chip or off-chip, for later use. Once the chip is running in the field for a certain time, the same procedure is performed to collect the top failing paths, and this is compared with the stored old paths. If the order of the top paths changes, it indicates that (for example) there is a path (not the slowest path before) that slows more than others, which could be potential reliability concern. Therefore, a potential reliability failure is identified in the field.
    Type: Grant
    Filed: March 16, 2008
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventors: Peilin Song, David Heidel, Franco Motika, Franco Stellari
  • Patent number: 7478302
    Abstract: A method suitable for testing an integrated circuit device is disclosed, the device comprising at least one module, wherein the at least one module incorporates at least one associated module monitor suitable for monitoring a device parameter such as temperature, supply noise, cross-talk etc. within the module.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: January 13, 2009
    Assignee: NXP B.V.
    Inventor: Hendricus Joseph Maria Veendrick
  • Patent number: 7478304
    Abstract: The present invention provides an apparatus and a computer program product for applying external clock and data patterns for TTP-LBIST. A simulation model for the logic under test is set up in a simulator. Next, a user sets up an external LBIST block, which comprises pre-verified internal clock and data pattern logic, and connects this block to the logic in the simulation model. The internal clock and data pattern logic provides the input patterns used in OPCG modes of LBIST. This internal clock and data pattern logic is already verified through the design effort. Therefore, the internal pattern generators become the external pattern generators in the simulation model. The external LBIST block applies the external clock and data patterns, and subsequently, the user receives and processes these output patterns to determine if the logic operates correctly.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: January 13, 2009
    Assignee: International Business Machines Corporation
    Inventors: Tilman Gloekler, Christian Habermann, Naoki Kiryu, Joachim Kneisel, Johannes Koesters
  • Patent number: 7478281
    Abstract: Functional testing of an embedded system is performed by a test control system that implements a peripheral emulation module to interface with an externally accessible port of the embedded system. The test control system implements a test generation processor that operates to autonomously resolve abstracted component templates and embedded system description data, specific to the embedded system, to produce a corresponding specific test program. The test control system executes the test program to drive operation of the embedded processor unit to cause transfer of test data through the external interface, which is then autonomously compared to reference data derived through the execution of the test program and specific to the embedded system, whereby the comparison results reflect the correct operation of the embedded system.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: January 13, 2009
    Inventor: William B. Denniston
  • Patent number: 7478303
    Abstract: A method and system for testing nodes in a network, where the network includes a plurality of nodes and at least one bus for coupling the plurality of nodes. The system includes a control processor for generating test commands to be sent to at least one node of the plurality of nodes and for receiving response data that is responsive to the test commands. The system also includes a back channel for coupling the control processor to the at least one node. The control processor uses the back channel to bypass the at least one bus during testing. According to the method and system disclosed herein, the present invention provides consistent and complete testing of nodes in a network, as well as significantly reduces test time from a manual 2 days to an automated 20 minutes or less.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: January 13, 2009
    Assignee: LSI Corporation
    Inventor: Doyle R. Hopkins
  • Patent number: 7478300
    Abstract: A method for testing functional boundary logic at an asynchronous clock boundary of an integrated circuit device is provided. With the method, each clock domain has its own scan paths that do not cross domain boundaries. By eliminating the scanning across the boundaries, the requirement to have two clock grids in the asynchronously clocked domains may be eliminated. As a result, circuit area and design time with regard to the clock distribution design are reduced. In addition, removing the second clock grid, i.e. the high speed core or system clock, in the asynchronously clocked domains removes the requirement to have a multiplexing scheme for selection of clocking signals in the asynchronous domain. In addition to the above, the system and method provide boundary built-in-self-test logic for testing the functional crossing logic of boundaries between the clock domains in a functional mode of operation.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: January 13, 2009
    Assignee: International Business Machines Corporation
    Inventors: Nathan P. Chelstrom, Steven R. Ferguson, Mack W. Riley
  • Patent number: 7475316
    Abstract: A buffer device for testing a memory subsystem. The buffer device includes a parallel bus port adapted for connection to a slow speed bus and a serial bus port adapted for connection to a high speed bus. The high speed bus operates at a faster speed than the slow speed bus. The buffer device also includes a bus converter having a standard operating mode for converting serial packetized input data received via the serial bus port into parallel bus output data for output via the parallel bus port. The buffer device also includes an alternate operating mode for converting parallel bus input data received via the parallel bus port into serial packetized output data for output via the serial bus port. The serial packetized input data is consistent in function and timing to the serial packetized output data.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas M. Cowell, Kevin C. Gower, Frank LaPietra
  • Patent number: 7475315
    Abstract: Integrated circuits such as programmable logic device integrated circuits are provided that have memory arrays. The memory arrays can be tested using configurable built in self test circuitry. The built in self test circuitry may have test control register circuitry and configurable state machine logic. The state machine logic may perform at-speed tests on a memory array and may provide test results to external equipment for analysis. A tester may be used to provide test control settings to the test control register circuitry. The test control settings may include march element settings for a march sequence. During testing, the configurable state machine logic may use the march element settings to generate march sequences. March sequences that have been generated in this way may be used in testing the memory array.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: January 6, 2009
    Assignee: Altera Corporation
    Inventors: Balaji Natarajan, Jayabrata Ghosh Dastidar, Muhammad Naziri Zakaria
  • Patent number: 7475313
    Abstract: This invention is new built-in self test instructions. A pointer register stores data identifying one bit of a data register. That bit determines whether the data of another data register is used in test in native form or in inverted form. Different built-in self test instructions update pointer including reset to the first bit, no change, increment to the next bit and decrement to the previous bit. For write instructions the selected normal or inverted data is written into memory. For read instructions the selected normal or inverted data is compared with data read from a memory.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: January 6, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Raguram Damodaran, Ananthakrishnan Ramamurti
  • Patent number: 7475311
    Abstract: Systems and methods for performing logic built-in self-tests (LBISTs) to detect “at-speed” errors in a digital circuit. In one embodiment, an input bit pattern is propagated through target logic of the digital circuit and captured in scan chains at a normal operating speed to produce a first output bit pattern. This is repeated with the first input bit pattern at a lower test speed to produce a second output bit pattern. Differences between the first and second output bit patterns are then detected to determine whether operation of the digital circuit at the normal operating speed causes errors that are not generated at the lower test speed.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: January 6, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7466157
    Abstract: An interface device receives test data from a tester. A signal representing the test data is transmitted to a device under test through electromagnetically coupled structures on the interface device and the device under test. The device under test processes the test data and generates response data. A signal representing the response data is transmitted to the interface device through electromagnetically coupled structures on the device under test and the interface device.
    Type: Grant
    Filed: February 5, 2004
    Date of Patent: December 16, 2008
    Assignee: FormFactor, Inc.
    Inventor: Charles A. Miller
  • Patent number: 7464296
    Abstract: A failure candidates identifying system has a tree structure in which input patterns selected from a failure dictionary are set as nodes. The failure candidates identifying system comprises a failure candidates searching tree in which an input pattern does not exist with overlapping in a route from a root node of the nodes. A searching tree generating unit is configured to set as a child node of the node by selecting an input pattern of the smallest absolute value of a difference between a detect failure number at the observed failure mode and an un-detect failure number from the failure dictionary by observing a Detect failure or an un-detected failure at the input pattern of the node in case of the node has a child node.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: December 9, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Chie Iwasa
  • Patent number: 7461312
    Abstract: A Multiple Input Shift Register (MISR) is used to generate signatures, based on data from a device under test, in order to validate the proper sequence and content of the data over a defined period of time. The MISR described herein includes the ability to “tag” the signatures for each time period using an incrementing value, and make that tag and the signature readable by a test controller. The MISR has the flexibility to be reset to a known initial state (or otherwise load a seed value) at the beginning of each time period or to continue accumulating signatures without being reset (or using the seed value). Accumulation of signatures over an extended period of time allows a test controller to validate that no errors occurred during a long term test without having to closely monitor the intermediate results.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: December 2, 2008
    Assignee: Microsoft Corporation
    Inventors: John A. Tardif, Stephen Z. Au, Eiko Junus
  • Patent number: 7461311
    Abstract: A device and a method for forming a signature, a predefined number of shift registers being provided, to which input data to be tested is applied bit-by-bit and in parallel as successive data words and which serially shift the input data forward in a predefinable cycle, a signature being formed in the shift registers after a certain number of data words and cycles, a code generator which generates at least one additional bit position in at least one additional shift register from each data word in the signature also being provided.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: December 2, 2008
    Assignee: Robert Bosch GmbH
    Inventors: Werner Harter, Ralf Angerbauer, Eberhard Boehl
  • Patent number: 7461308
    Abstract: A method for testing semiconductor chips is disclosed. In one embodiment, a chip to be tested which has a test logic, at least one test mode is set, the test modes are executed in the chip and test results or the status of the test modes are output from the chip. The method includes providing a chip having at least one first register set having a plurality of registers and at least one second register set having a plurality of registers, at least one register of the first register set and at least one register of the second register set being 1:1 logically combined with one another. A first serial bit string is stored, the bit sequence of which can be assigned to at least one test mode, in the first register set. A bit sequence is transmitted for application of the logical combination between the first register set and the second register set to the first bit string stored in the first register set. The test results are read out by means of a serial second bit string.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: December 2, 2008
    Assignee: Infineon Technologies AG
    Inventors: Jochen Kallscheuer, Udo Hartmann, Patric Stracke
  • Patent number: 7454676
    Abstract: A method for testing semiconductor chips having a test logic unit includes: providing a chip having n different register sets, each of which has m different registers that are subdivided into m register groups each having n registers, each register group respectively having only one individual register from a register set, the m register groups being uniquely identifiable using m headers; programming the m different register groups by filling them with m first bit strings, each bit string being respectively assignable to a state of n test modes; transmitting at least one header to select a register group and the state of the n test modes and executing the state of n test modes stored in the selected register group; and using a serial second bit string to read out test results or the status of the test modes.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: November 18, 2008
    Assignee: Infineon Technologies AG
    Inventors: Udo Hartmann, Jochen Kallscheuer, Patric Stracke
  • Publication number: 20080282123
    Abstract: A system and method of multi-frequency integrated circuit testing with a method for testing a clocked logic type integrated circuit including creating exerciser code on the integrated circuit when the integrated circuit is operating at a first frequency, switching the integrated circuit to operating at a second frequency greater than the first frequency, and running the exerciser code on the integrated circuit when the integrated circuit is operating at the second frequency.
    Type: Application
    Filed: May 10, 2007
    Publication date: November 13, 2008
    Inventors: Charles L. Meissner, Pedro Martin-de-Nicolas, Gerard M. Salem
  • Patent number: 7451025
    Abstract: A power control circuit is provided in a vehicle control ECU mounted in a vehicle. The control circuit, when making a shift to a test mode by a test mode circuit, closes a relay to supply a power voltage from a battery to a power line in the similar manner as an ignition main switch is turned on. A logic circuit section of the test mode circuit is reset by an OR logic of a set level of a test terminal and a level of the power line.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: November 11, 2008
    Assignee: Denso Corporation
    Inventors: Akio Kojima, Nobutomo Takagi
  • Patent number: 7447955
    Abstract: There is provided a test apparatus for testing a memory-under-test for storing data strings to which an error correcting code has been added, having a logical comparator for comparing each data contained in the data string read out of the memory-under-test with an expected value generated in advance, a data error counting section for counting a number of data inconsistent with the expected value, a plurality of registers, provided corresponding to each of a plurality of classes, for storing an upper limit value of a number of errors contained in the data -under-test to be classified into the class, comparing sections for comparing each of the plurality of upper limit values stored in the plurality of registers with the counted value of the data error counting section and a classifying section for classifying the memory-under-test into the class corresponding to the register storing the upper limit value which is greater than the counted value.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: November 4, 2008
    Assignee: Advantest Corporation
    Inventors: Hirokatsu Niijima, Shinya Sato
  • Patent number: 7447964
    Abstract: A test circuit and programmable voltage divider that may be used in the test circuit. The programmable voltage divider develops a voltage difference signal that may be digitally selected. The test circuit may be used to test and characterize sense amplifiers. The programmable voltage divider develops a signal with a selected polarity and magnitude that is provided to a sense amplifier being tested. The sense amplifier is set and its output latched. The latch contents are checked against an expected value. The difference voltage may be changed and the path retested to find passing and failing points.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Yuen H. Chan, Rajiv V. Joshi