Structural (in-circuit Test) Patents (Class 714/734)
  • Patent number: 7447956
    Abstract: Read and write data steering logic in the I/O of a memory array is tested by providing a data bus lane for each addressable subunit of a memory array storage location. Each bus lane is connected to the data input of a comparator. A BIST controller writes test patterns to the memory through the write steering logic and reads the test patterns in parallel to test the write steering logic. The BIST controller writes test patterns to the memory in parallel and reads the test patterns through the read steering logic to test the read steering logic. In both cases, a separate comparator dedicated to each bus lane verifies that the subunit data was properly shifted between the data bus lane and memory storage location subunit. The comparators are effectively disabled during normal operations to prevent logic gate switching.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: November 4, 2008
    Assignee: QUALCOMM Incorporated
    Inventors: Lakshmikant Mamileti, Anand Krishnamurthy, Clint Wayne Mumford, Sanjay B Patel
  • Patent number: 7444573
    Abstract: An integrated circuit with built-in self test enables internal data registers to be written to or read from via an external tester. In a command phase the programmable built-in self test unit receives a command, an address and a data transfer count. The address specifies the initial data register address. The data transfer count corresponds to the amount of data transferred and the number of cycle in the data access phase. The data access phase begins by accessing the data register corresponding to the address from the command phase. During subsequent cycles of the data access phase, the external tester accesses sequential data registers. The programmable built-in self test unit includes a pointer register and an adder to update the address each cycle of the data phase.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: October 28, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Raguram Damodaran, Ananthakrishnan Ramamurti, Ravi Lakshmanan
  • Patent number: 7444558
    Abstract: A serial point to point link that communicatively couples an integrated circuit (IC) device to another IC device is initialized by transferring a training sequence of symbols over the link. Registers of the IC device are programmed, to set a symbol data pattern and configure a lane transmitter for the link. A start bit in a register of the IC device is programmed, to request that the link be placed in a measurement mode. In this mode, the IC device instructs the other IC device to enter a loopback mode for the link. The IC device transmits a sequence of test symbols over the link and evaluates a loopback version of the sequence for errors. The sequence of test symbols have a data pattern, and are transmitted, as configured by the registers. Other embodiments are also described and claimed.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: October 28, 2008
    Assignee: Intel Corporation
    Inventors: Suneel G. Mitbander, Cass A. Blodgett, Andrew W. Martwick, Lyonel Renaud, Theodore Z. Schoenborn
  • Patent number: 7444571
    Abstract: A system for testing a target integrated circuit comprises a host device that executes a debugging and testing analysis program, that transmits test instructions and data to the integrated circuit and that analyzes received data from the target integrated circuit. A first interface module communicates with the host device and formats the test instructions and data using a first format. A first serializer serializes the test instructions and data. A first deserializer on the target integrated circuit communicates with the first serializer and deserializes the test instructions and data. A control module on the target integrated circuit communicates with the first deserializer, interprets the test instructions and data using the first format. A testing module receives the interpreted test instructions and data from the control module and performs testing and debugging of the target integrated circuit.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: October 28, 2008
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7441169
    Abstract: A semiconductor integrated circuit has a scan path that includes, between the output of the first logic section and the input of the functional block, a parallel path and a serial shift path for serially transferring data, and that includes first selectors for connecting the output of the first logic section or the serial shift path to the input of the functional block, and flip-flops for storing the data. The semiconductor integrated circuit further includes second selectors connected into the serial shift path of the scan path, for connecting the output of the functional block or the serial shift path to the input of the second logic section. Test data is provided from the serial shift path of the scan path to the functional block via the second selectors, and data output from the functional block is output via the second selectors after switching the second selectors.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: October 21, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Hideshi Maeno
  • Patent number: 7441165
    Abstract: A read-only memory (ROM) and a related method for controlling operations of the ROM are disclosed. A built-in self-test (BIST) circuit of the ROM verifies system data stored in a system area of a plurality of memory cells of the ROM according to verification data stored in a verification area of the memory cells of the ROM.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: October 21, 2008
    Assignee: Prolific Technology Inc.
    Inventors: Tsai-Wang Tseng, Shih-Chia Kao, Shing-Wu Tung
  • Publication number: 20080256408
    Abstract: A test apparatus being capable of replacing a test module with the other kind of test module that tests device under tests by using the test module is provided. The test apparatus includes a plurality of test modules that transmit/receive signals to/from the device under tests to test the device under test; and a performance board for diagnosis that diagnose the plurality of test modules. The performance board for diagnosis including: a motherboard provided common to the plurality of test, modules; a circuit for diagnosis that transmits/receives a signal to/from each test module to diagnose the test module; a plurality of inter-board to module connectors that connect between the corresponding test module and the circuit for diagnosis; and plurality of sub-boards each of which has at least one of the inter-board to module connectors and is fixed to the motherboard to fix the inter-board to module connectors to the motherboard.
    Type: Application
    Filed: April 13, 2007
    Publication date: October 16, 2008
    Applicant: ADVANTEST CORPORATION
    Inventor: SATOSHI IWAMOTO
  • Patent number: 7437644
    Abstract: A closed system such as a TET system in which self-testing of all components of the implantable medical device whose malfunction could negatively impact on the proper operation of the closed system is automatically and periodically performed without triggering from an external device. In addition, a closed system including automatic, periodic self-testing of the implantable medical device in which, whenever practical, testing of the components is synchronized with telemetric communication of the external device whereby an external RF field generated by the external device is used to supply necessary power to perform self-testing.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: October 14, 2008
    Assignee: Codman Neuro Sciences Sárl
    Inventors: Alec Ginggen, Rocco Crivelli
  • Patent number: 7437635
    Abstract: A set of boundary scan registers are implemented by reconfiguring the functional blocks of a reconfigurable device. This “soft-wired” set of boundary scan registers can be used to test the interface connections between the IP core and the functional blocks of the reconfigurable device. Additionally, the set of boundary scan registers only exists when a testing configuration is loaded into the reconfigurable device. When testing is complete, the testing configuration is erased and the functional blocks may implement other operations. Thus, the set of boundary scan registers consumes no additional chip area. Furthermore, as the set of boundary scan registers disappears after testing, a functional path enabling normal operation modes is unnecessary. Therefore, manually created functional test data is not needed. Instead, ATPG software can create test data from hardware descriptions of the IP core and the set of boundary scan registers.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: October 14, 2008
    Assignee: Altera Corporation
    Inventors: Danh Dang, Chung Elvis Fu, Michael Harms
  • Patent number: 7437626
    Abstract: Memory array built in self testing utilizing including a simple data history table. The table is used to track failing locations observed during any level of assembly test of processor or logic semiconductor chips where the chips contain SRAM macros with redundant elements for failure relief.
    Type: Grant
    Filed: February 11, 2005
    Date of Patent: October 14, 2008
    Assignee: International Business Machines Corporation
    Inventors: Tom Y. Chang, William V. Huott, Thomas J. Knips, Donald W. Plass
  • Patent number: 7434120
    Abstract: Provided is a test mode control circuit capable of preventing an MRS (mode register set) from changing in a test mode exit after a test mode entry. In the test mode control circuit, an MRS controller logically combines an MRS signal, a bank address, an MRS address, and a test mode control signal to output a latch control signal. A test mode control unit detects a test mode entry and a test mode exit to selectively activate one of a test mode set signal and a test mode exit signal, and outputs the test mode control signal having different voltage levels according to an activation state of the test mode set signal or the test mode exit signal. An address latch latches an input address when the MRS signal is activated, and outputs the latched input address as the MRS address when the latch control signal is activated.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: October 7, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ji-Eun Jang, Kee-Teok Park
  • Patent number: 7428682
    Abstract: In relation to the built-in self-test circuit (BIST circuit) for testing CAM macros, the present invention is intended to provide a means to enable reduction in amount of materials as required for wiring channel region for signal distribution, buffer, FF, etc., and in number of LSI pins, and further, to facilitate mounting on chips. The data generators for CAM testing, inserted between the APG for RAMs and CAM macros, create data to write to the CAM macros by obtaining the address signals directly or by decoding the same signals. The APG is common to all the memory macros, and testing proper to each CAM can be carried out by changing over the operation of the inserted data generators by means of the control signal. The data generators are arranged in the proximity of the CAM macros, the circuits to be tested.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: September 23, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Yoichiro Aihara, Masahiko Nishiyama, Daisuke Sasaki
  • Patent number: 7428674
    Abstract: Monitoring of the state vector of a test access port (TAP) permits isolation of the root cause of improper transitions of the state vector due to various factors, including electrical noise. The test access port includes TCK, TMS, TDI, and TDO. A circuit for monitoring the state vector includes a TAP controller, a storage circuit, and a sampling circuit. The TAP controller updates the state vector for each transition of TCK. The storage circuit stores a value of the state vector responsive to transitions of TCK while a write enable is enabled. To permit generating the write enable without additional pins and without violating a protocol for the test access port, the write enable may be generated in response to a plurality of transitions of TDI of the test access port during an interval in which TMS and TCK of the test access port have no transitions.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: September 23, 2008
    Assignee: XILINX, Inc.
    Inventor: Neil G. Jacobson
  • Patent number: 7426668
    Abstract: Programmable memory built-in self-test (MBIST) methods, apparatus, and systems are disclosed. Exemplary embodiments of the disclosed technology can be used, for example, to test one or more memories located on an integrated circuit during manufacturing testing.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: September 16, 2008
    Inventors: Nilanjan Mukherjee, Xiaogang Du, Wu-Tung Cheng
  • Patent number: 7424657
    Abstract: A method and a device for testing an integrated circuit are defined by the fact that the testing of the integrated circuit is begun by a self-test device contained in the integrated circuit before the integrated circuit is connected to an external testing device that reads out and/or evaluates the results of the self test. The integrated circuit and the wafer are constructed in such a way that this is readily possible with little outlay. An integrated circuit that includes the self-test device and a wafer including such integrated circuits is also disclosed.
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: September 9, 2008
    Assignee: Infineon Technologies AG
    Inventor: Thomas Zettler
  • Patent number: 7424660
    Abstract: A circuit is disclosed for testing memories using multiple built-in self test (BIST) controllers embedded in an integrated circuit (IC). The BIST controllers are brought to a synchronization point during the memory test by allowing for a synchronization state. An output signal from an output pin on the IC indicates the existence of a synchronization state to automated test equipment (ATE). After an ATE receives the output signal, it issues a resume signal through an IC input pin that causes the controllers to advance out of the synchronization state. The ATE controls the synchronization state length by delaying the resume signal. Synchronization states can be used in parametric test algorithms, such as for retention and IDDQ tests. Synchronization states can be incorporated into user-defined algorithms by software design tools that generate an HDL description of a BIST controller operable to apply the algorithm with the synchronization state.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: September 9, 2008
    Inventors: Omar Kebichi, Wu-Tung Cheng, Christopher John Hill, Paul J. Reuter, Yahya M. Z. Mustafa
  • Patent number: 7421365
    Abstract: An apparatus for automatically inserting connectors and coupling test probes to circuit boards, such as computer system boards and the like. The apparatus enables connectors to be automatically inserted into mating connectors on a circuit board device under test (DUT). Connectors may be automatically inserted along 1-4 axes. The apparatus includes replaceable probe/connector plates that are DUT-type specific, as well as DUT-type specific side access units. The apparatus may also be used for inserting memory devices and microprocessors, and further enables peripheral devices to be operatively coupled to expansion bus connectors on the DUT. In one embodiment, a single actuator is employed to actuate up to four insertion axes simultaneously.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: September 2, 2008
    Assignee: Intel Corporation
    Inventors: Thomas A. Repko, Frank W. Joyce, Teik Sean Toh, Douglas P. Kreager
  • Patent number: 7421384
    Abstract: During software development, a multichip module is used which encloses a target chip and a development chip in one package. A CPU of the development chip fetches instructions from a flash memory in the chip to execute them, and accesses RAM and peripheral circuits in the chip. For motor control circuits that exist only in the target chip, the CPU accesses them via serial communication. When the one-chip microcomputer operates alone, its CPU switches a switching circuit to a JTAG interface side to actuate a motor control circuit via internal serial communication.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: September 2, 2008
    Assignee: DENSO CORPORATION
    Inventors: Kenji Yamada, Hideaki Ishihara, Kyouichi Suzuki, Yoshinori Teshima, Toshihiko Matsuoka, Naoki Ito
  • Patent number: 7421629
    Abstract: The invention relates to a semi-conductor component test procedure, and a semiconductor component test device (10b), which comprise: a device (43) for generating pseudo-random address values to be applied to corresponding address inputs of a semi-conductor component (2b), in particular a memory component, to be tested.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: September 2, 2008
    Assignee: Infineon Technologies AG
    Inventors: Thorsten Bucksch, Martin Meier
  • Patent number: 7421633
    Abstract: An optimized JTAG interface is used to access JTAG Tap Domains within an integrated circuit. The interface requires fewer pins than the conventional JTAG interface and is thus more applicable than conventional JTAG interfaces on an integrated circuit where the availability of pins is limited. The interface may be used for a variety of serial communication operations such as, but not limited to, serial communication related integrated circuit test, emulation, debug, and/or trace operations.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: September 2, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7417450
    Abstract: Testing of die on wafer is achieved by; (1) providing a tester with the capability of externally communicating JTAG test signals using simultaneously bidirectional transceiver circuitry, (2) providing die on wafer with the capability of externally communicating JTAG test signals using simultaneously bidirectional transceiver circuitry, and (3) providing a connectivity mechanism between the bidirectional transceiver circuitry's of the tester and a selected group or all of the die on wafer for communication of the JTAG signals.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: August 26, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7418643
    Abstract: Special test circuitry in an IC for wafer level testing selectively connects the specialized test circuitry to the functional circuitry during wafer test. Following wafer test the special test circuitry is electrically isolated from the functional circuitry and power supplies such that it does not load functional circuit signals nor consume power.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: August 26, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Richard L. Antley, Lee D. Whetsel
  • Patent number: 7418642
    Abstract: A test method for an ASIC uses an embedded processor in the ASIC to execute test routines from an embedded memory or an external memory. During ASIC production, the test routines can comprehensively test of the blocks of the ASIC without a complicated test pattern from test equipment. The test routines can also perform power-up tests in systems or end products containing the ASIC. Test selection, activation, and result output can be implement using a few terminals of the ASIC.
    Type: Grant
    Filed: July 30, 2001
    Date of Patent: August 26, 2008
    Assignee: Marvell International Technology Ltd.
    Inventors: Richard D. Taylor, Mark D. Montierth, Melvin D. Bodily, Gary Zimmerman, John D. Marshall
  • Patent number: 7415649
    Abstract: The invention relates to a semi-conductor component test procedure, as well as to a semi-conductor component test device with a shift register, which comprises several memory devices from which pseudo-random values (BLA, COL, ROW) to be used for testing a semi-conductor component are able to be tapped and emitted at corresponding outputs of the semi-conductor component test device, whereby the shift register comprises at least one further memory device, from which a further pseudo-random value (VAR) is able to be tapped and whereby a device is provided, with which the further pseudo-random value (VAR) can selectively, if needed, be emitted at at least one corresponding further output of the semi-conductor component test device.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: August 19, 2008
    Assignee: Infineon Technologies AG
    Inventor: Thorsten Bucksch
  • Patent number: 7415647
    Abstract: A pin-limited device includes a pattern-recognition circuit that detects a predetermined signal pattern transmitted on a supply pin of the device. The predetermined signal pattern is generated within the acceptable operating voltage range of the IC device (e.g., between the minimum and maximum acceptable system voltage levels utilized to control the internal circuitry of the device). Accordingly, the pin-limited IC device continues to operate within specifications while the predetermined signal pattern is transmitted on the selected power supply pin or pins. A test mode circuit generates a switch control signal in response to the predetermined signal pattern to connect an output pin of the device, for example, to an internal node of the device. The pattern recognition circuit sets a latch when the predetermined signal pattern is detected, and the latch is reset when the device is powered down then powered up.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: August 19, 2008
    Assignee: Micrel, Incorporated
    Inventor: Philip W. Yee
  • Patent number: 7415646
    Abstract: Methods of performing a sector erase of flash memory devices incorporating built-in self test circuitry are provided. The present invention employs an interactive verification and sector erase algorithm to verify and repeatedly erase the sector until a portion of the groups of each page of the sector are erased or a first maximum number of erase pulses is achieved. The algorithm further includes a word verification and erase operation that sequentially verifies and erases each word of the sector until each word is erased or a second maximum number of erase pulses is achieved. The second maximum number of erase pulses may be based on a function of the first maximum number of erase pulses. The second maximum number of erase pulses may be input to the sector erase algorithm as a multi-bit code. The second maximum number of erase pulses and conversion of the multi-bit code may be based on a binary multiple of the first maximum number of erase pulses.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: August 19, 2008
    Assignee: Spansion LLC
    Inventors: Mimi Lee, Darlene Hamilton, Ken Cheong Cheah
  • Patent number: 7409615
    Abstract: A test apparatus for testing a device under test 15 is provided. The test apparatus includes a driver 122 for applying a test signal to the device under test, a comparator 128 for comparing a result signal outputted by the device under test 15 corresponding to the applied test signal with a predetermined reference voltage and a setting voltage output section 110 for setting the voltage of the test signal to a predetermined voltage value to cause the driver 122 to terminate the transmission path of the result signal when the test apparatus reads from the device under test 15.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: August 5, 2008
    Assignee: Advantest Corporation
    Inventors: Hiroaki Nishimine, Hirokatsu Niijima, Takeo Miura
  • Patent number: 7405586
    Abstract: An apparatus, a method and a system to test a device. An input/output (I/O) block communicates with an external tester to receive test data and to send test result using first and second communication modes. A logic block parses the test data. A memory stores microcode from the parsed test data. The microcode contains a test program to test a circuit. A controller executes the test program.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: July 29, 2008
    Assignee: Intel Corporation
    Inventors: Sunil Gupta, Reed Linde, Rich Fackenthal
  • Patent number: 7406639
    Abstract: A scan chain partition includes a serial input coupled to a scan input signal pin of a module under test. A plurality of scan sub-chains is coupled to the serial input. A scan sub-chain output multiplexer is coupled to the plurality of scan sub-chains for sequentially selecting only one of the scan sub-chains in response to a scan sub-chain control signal. A scan sub-chain controller generates the scan sub-chain control signal and gates a scan clock signal to only a scan clock input of the selected scan sub-chain.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: July 29, 2008
    Assignee: LSI Corporation
    Inventor: Iain R. Clark
  • Patent number: 7406642
    Abstract: Techniques are provided for capturing external signals at output pins on a programmable logic integrated circuit (IC) during a boundary scan test. A JTAG sample signal is routed to an input/output block on a chip and active during a JTAG sampling phase. An input buffer coupled to an output pin is turned on during the JTAG sample phase. Logic gates enable the input buffer in response to the JTAG sample signal so that the input buffer can capture a signal on the pin. The input buffer is turned off after the JTAG sampling phase to conserve power. The output buffer coupled to the pin that receives the test signal is tristated to prevent contention during the JTAG sampling phase. The techniques of the present invention can be used to test board level interconnects in less time and are easy to implement.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: July 29, 2008
    Assignee: Altera Corporation
    Inventor: Ker Yon Lau
  • Patent number: 7404129
    Abstract: In a first embodiment a TAP of IEEE standard 1149.1 is allowed to commandeer control from a WSP of IEEE standard P1500 such that the P1500 architecture, normally controlled by the WSP, is rendered controllable by the TAP. In a second embodiment (1) the TAP and WSP based architectures are merged together such that the sharing of the previously described architectural elements are possible, and (2) the TAP and WSP test interfaces are merged into a single optimized test interface that is operable to perform all operations of each separate test interface. One approach provides for the TAP to maintain access and control of the TAP instruction register, but provides for a selected data register to be accessed and controlled by either the TAP+ATC or by the discrete CaptureDR, UpdateDR, TransferDR, ShiftDR, and ClockDR WSP data register control signals.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: July 22, 2008
    Assignee: Texas Instruments Incoporated
    Inventor: Lee D. Whetsel
  • Patent number: 7404124
    Abstract: Through addressing circuitry, a sampling circuit can choose a unique internal node/signal on an encapsulated/packaged chip to be output to one or more drivers. The chosen signals available at the target node are directed either through a select circuit to an output pin, or directly to an output pin. In a preferred mode, decode circuits used to select a unique node are serially connected, allowing for a large number of signals to be made available for analyzing without a large impact on circuit layout. Because of the rules related to abstracts, this abstract should not be used in the construction of the claims.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: July 22, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Chris Martin, James Brian Johnson, Troy Manning, Brent Keeth
  • Patent number: 7404115
    Abstract: A self-synchronising data bus analyser comprising a generator LFSR, a receiver LFSR and a comparator wherein the generator LFSR generates a first data set which is transmitted through a data bus to the comparator; and wherein the comparator compares the first data set with a second data set generated by the receiver LFSR and adjusts the receiver LFSR until the second data set is substantially the same as the first data set.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: July 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: Gerard Boudon, Didier Malcavet, David Pereira, Andre Steimle
  • Patent number: 7398445
    Abstract: A method and system for debug and test using replicated logic is described. A representation of a circuit is compiled. The circuit includes a replicated portion and delay logic to delay inputs into the replicated portion. The circuit may also include trigger logic and clock control logic to enable execution of the replicated portion of the circuit to be paused when a trigger condition occurs. The compiled representation of the circuit may be programmed into a hardware device. A debugger may then be invoked. One or more triggering signals are selected. For each selected triggering signal, one or more states are selected to setup a trigger condition. The hardware device may then be run. The replicated portion of the circuit will be paused when the trigger condition occurs. The states of registers in the replicated portion of the circuit and the sequence of inputs that led to the trigger condition are recorded.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: July 8, 2008
    Assignee: Synplicity, Inc.
    Inventors: Chun Kit Ng, Mario Larouche
  • Patent number: 7395476
    Abstract: A buffer device for testing a memory subsystem. The buffer device includes a parallel bus port adapted for connection to a slow speed bus and a serial bus port adapted for connection to a high speed bus. The high speed bus operates at a faster speed than the slow speed bus. The buffer device also includes a bus converter having a standard operating mode for converting serial packetized input data received via the serial bus port into parallel bus output data for output via the parallel bus port. The buffer device also includes an alternate operating mode for converting parallel bus input data received via the parallel bus port into serial packetized output data for output via the serial bus port. The serial packetized input data is consistent in function and timing to the serial packetized output data.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: July 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Thomas M. Cowell, Kevin C. Gower, Frank LaPietra
  • Patent number: 7395475
    Abstract: A fuse disposing circuit executes a same test as in a state before a fuse is cut, even in case the fuse is cut. For this, the fuse disposing circuit in accordance with the invention includes a test mode enable confirmation section for informing whether a test mode is enabled; and a fuse set for providing a constant signal by using the output from the test mode enable confirmation section in case of the test mode, regardless of elimination or non-elimination of a fuse.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: July 1, 2008
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Chang-Ho Do
  • Patent number: 7395477
    Abstract: A switch control apparatus for controlling a switch is provided, the switch control apparatus including: a sequence memory for recording a sequence pattern, which includes open/close instruction data which instruct the switch thereon to open/close; an address control module for sequentially retrieving each of the open/close instruction data of the sequence pattern from the sequence memory; and an open/close state storage module for storing an open/close state instructed by changed open/close instruction data, when the open/close instruction data retrieved by the address control module is changed, wherein the open/close state stored by the open/close state storage module is provided to the switch such that the switch opens or closes in response to the open/close state.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: July 1, 2008
    Assignee: Avantest Corporation
    Inventors: Hiroyuki Kawashima, Kazushige Yamamoto, Satoshi Shimoyama
  • Patent number: 7395466
    Abstract: According to embodiments of the present invention, an integrated circuit such as a processor includes a counter to count an actual number of unreliable storage locations in the processor cache, at least one register to store an acceptable number of unreliable storage locations for the cache, a detector to measure a thermal environment of the processor, and circuitry to raise an operating voltage of the processor if the actual number of unreliable storage locations exceeds the acceptable number of unreliable storage locations, and if the thermal environment is acceptable.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: July 1, 2008
    Assignee: Intel Corporation
    Inventor: Morgan J. Dempsey
  • Patent number: 7392448
    Abstract: Methods and apparatus are provided for testing digital circuits. In one implementation, a scan chain test structure is provided that includes a cell chain, a first scan chain, and a second scan chain. The first scan chain is operable to test digital circuitry within a first portion of the cell chain, and the second scan chain is operable to test digital circuitry within a second portion of the cell chain. The first scan chain is further operable to test digital circuitry within the second scan chain, and the second scan chain is further operable to test digital circuitry within the first scan chain.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: June 24, 2008
    Assignee: Atmel Corporation
    Inventors: Alexandre De Poorter, Fabrice Picot
  • Patent number: 7389455
    Abstract: A system and method for initializing a register file during a test period for an integrated circuit, wherein the register file has one or more input ports. A counter, when enabled, is initialized and counts at each write cycle of the register file and outputs a current count value to the input ports of the register file to pre-load the register file to a known state.
    Type: Grant
    Filed: May 14, 2006
    Date of Patent: June 17, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Alan Hales
  • Patent number: 7383481
    Abstract: An integrated circuit including functional circuitry; test circuitry connected to the functional circuitry, wherein the test circuitry is arranged to control the testing of the functional circuitry; and clock signal generating circuitry connected to both the functional circuitry and the test circuitry. The test circuitry is arranged to use the clock signal for testing the functional circuitry.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: June 3, 2008
    Assignee: STMicroelectronics Limited
    Inventors: Robert Warren, Robert M. Mills
  • Patent number: 7376875
    Abstract: A system, apparatus and method of isolating a plurality of limiting logical cones in a chip during a logical built-in self test (LBIST) are provided. An LBIST is performed on the chip in order to locate a first latch that fails the test. Particularly, latches on the chip are arranged in a plurality of scan chains wherein each latch holds data for a logical cone. The LBIST is performed on one scan chain at a time. Once the first latch is located, a first limiting cone (i.e., the cone for which the first latch is holding data) may be isolated. After isolating the first limiting cone, the data from the first latch is masked out and the LBIST is repeated on the scan chain. The data is masked out in order to facilitate the identification of any other latch that may fail the test. Again, if another latch fails the test a corresponding limiting cone may be isolated.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: May 20, 2008
    Assignee: International Business Machines Corporation
    Inventors: Rolf Hilgendorf, Johannes Koesters, Thomas Pflueger
  • Patent number: 7370249
    Abstract: A technique for testing a memory array. More particularly, embodiments of the invention relate to a memory array testing architecture in which a memory array within a device under test (DUT) is able to be tested at speeds substantially similar to those under typical operating conditions of the memory array without incurring significant die real estate and power penalties.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: May 6, 2008
    Assignee: Intel Corporation
    Inventors: Zhuoyu Bao, David M. Wu, Chih-Jen M. Lin
  • Patent number: 7370257
    Abstract: A system and method for collecting and analyzing integrated circuit test vehicle test data by identifying various blocks of circuitry through at least two different intersecting test paths. In one embodiment, the process test circuits may be arranged in a matrix format and connected so that they may be tested along rows or columns. When a failure along a specific row and a specific column is identified, the process test circuit at the intersection may be identified as the failure point.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: May 6, 2008
    Assignee: LSI Logic Corporation
    Inventors: Richard Schultz, Gerald Shipley, Derryl Allman
  • Patent number: 7363564
    Abstract: An apparatus comprises at least one port for coupling signals to the apparatus, a mode selector for setting the apparatus to a normal mode or a debug mode, and a port control for controlling access to secure information in the apparatus through the port in accordance with the selected mode. A method for controlling access to the port is also provided.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: April 22, 2008
    Assignee: Seagate Technology LLC
    Inventors: Robert Wayne Moss, Monty Aaron Forehand, Donald Preston Matthews, Jr., Laszlo Hars, Donald Rozinak Beaver, Charles William Thiesfeld, Jon David Trantham, William Preston Goodwill
  • Patent number: 7360127
    Abstract: A method and apparatus for evaluating and optimizing a signaling system is described. A pattern of test information is generated in a transmit circuit of the system and is transmitted to a receive circuit. A similar pattern of information is generated in the receive circuit and used as a reference. The receive circuit compares the patterns. Any differences between the patterns are observable. In one embodiment, a linear feedback shift register (LFSR) is implemented to produce patterns. An embodiment of the present disclosure may be practiced with various types of signaling systems, including those with single-ended signals and those with differential signals. An embodiment of the present disclosure may be applied to systems communicating a single bit of information on a single conductor at a given time and to systems communicating multiple bits of information on a single conductor simultaneously.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: April 15, 2008
    Assignee: Rambus Inc.
    Inventors: Jared Zerbe, Pak Shing Chau, William Franklin Stonecypher
  • Patent number: 7353442
    Abstract: An on-chip and at-speed tester for testing and characterization of different types of memories in an integrated circuit device, comprising a Centralized Flow Controller for automatically controlling the test operations for selected test programs, and Localized Signal Generators located inside each memory block and controlled by said Centralized Flow Controller for applying specified test patterns on the associated memory array.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: April 1, 2008
    Assignee: STMicroelectronics Pvt. Ltd.
    Inventors: Swapnil Bahl, Balwant Singh
  • Patent number: 7350123
    Abstract: A test apparatus includes a test module including a correcting unit for correcting the timing at which the test signal is to be supplied to the device under test or a voltage level of the test signal to the device under test, a correction value holding unit for holding a correction value used for a correction by the correcting unit, and an identification information storing unit for storing test module identification information, which is identification information of the test module, a correction value database for storing the correction value to be held by the correction value holding unit of the test module identified by the test module identification information, in order that the correction value corresponds to the test module identification information, and control means for retrieving the correction value stored by the correction value database, wherein the correction value corresponds to the test module identification information stored by the identification information storing unit, and controlling t
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: March 25, 2008
    Assignee: Advantest Corporation
    Inventor: Shigeki Takizawa
  • Patent number: 7346819
    Abstract: An integrated circuit device having a test sequence generator, first and second transceivers and a test sequence analyzer. The test sequence generator generates a test data sequence in response to a test mode selection. The first transceiver receives the test data sequence from the test sequence generator and is configured in a loopback mode to transmit and receive the test data sequence. The second transceiver receives the test data sequence received by the first transceiver and is configured in a loopback mode to transmit and receive the test data sequence. The test sequence analyzer determines whether the test data sequence received by the second transceiver matches the test data sequence generated by the test sequence generator.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: March 18, 2008
    Assignee: Rambus Inc.
    Inventors: Akash Bansal, Michael Sobelman, Simon Li, Donald A. Draper
  • Patent number: 7339389
    Abstract: In a semiconductor device, a main circuit is operated by a first clock signal, and at least one characteristic evaluating circuit is operated by a second clock signal whose frequency is higher than a frequency of the first clock signal. Also, at least one deterioration detecting circuit is connected to the characteristic evaluating circuit and Is adapted to detect deterioration of the characteristic evaluating circuit.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: March 4, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Hideo Mochizuki