Structural (in-circuit Test) Patents (Class 714/734)
  • Patent number: 7823038
    Abstract: Special test circuitry in an IC for wafer level testing selectively connects the specialized test circuitry to the functional circuitry during wafer test. Following wafer test the special test circuitry is electrically isolated from the functional circuitry and power supplies such that it does not load functional circuit signals nor consume power.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: October 26, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Richard L. Antley, Lee D. Whetsel
  • Patent number: 7818638
    Abstract: Disclosed are methods, systems and devices, such as a device including a data location, a quantizing circuit coupled to the data location, and a test module coupled to the quantizing circuit. In one or more embodiments, the test module can include a linear-feedback shift register.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: October 19, 2010
    Assignee: Micron Technology, Inc.
    Inventor: R. Jacob Baker
  • Patent number: 7814377
    Abstract: In a non-volatile memory system, test data may be retrieved by means of a circuit without the help of firmware. The circuit is triggered into action when it detects an abnormality in the processor or host interface. In such event, it formats the self test or status signals from the various blocks in the non-volatile memory system controller and sends a test message to the outside world without the assistance of the system processor or interface controller. When implemented in memory systems with multiple data lines, only one of the data lines may be utilized for such purpose, thereby allowing the testing to be performed while the system is still performing data transfer. Preferably, the system includes the test mode communication controller, which can select between a test channel and a host interface channel for the test message transfer so that the same testing may be performed when the memory system is in the test package as well as in an encapsulated package.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: October 12, 2010
    Assignee: SanDisk Corporation
    Inventors: Simon Stolero, Micky Holtzman, Yosi Pinto, Reuven Elhamias, Meiri Azari
  • Patent number: 7810004
    Abstract: An integrated circuit having a subordinate test interface and method for transmitting digital data is disclosed. The integrated circuit includes at least one test interface that is adapted to write and read data in and from a data memory, the at least one test interface includes, for transmitting and receiving data of different content categories, one signal line each for every content category. The integrated circuit further includes an interface module for receiving and transmitting data, and that the interface module is, via the signal lines, connected with the test interface for transmitting the data of the different content categories.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: October 5, 2010
    Assignee: Infineon Technologies AG
    Inventors: Albrecht Mayer, Harry Siebert
  • Publication number: 20100251048
    Abstract: A method implemented in a test system comprises a test debug system and a target system, said target system comprising a test access port that functions according to a plurality of states and also comprising an adapter. The method comprises the adapter transferring data to the test debug system while the test access port remains in a predefined state. The predefined state comprises a state in which no scans occur.
    Type: Application
    Filed: June 14, 2010
    Publication date: September 30, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Gary L. Swoboda
  • Publication number: 20100251001
    Abstract: In one embodiment, a state machine may enable retraining of a link, where the state machine is to be initiated responsive to an external input received from a logic analyzer coupled to the link or a periodic timer. Such external input may indicate that the logic analyzer has lost synchronization with respect to link communications, and the retraining thus enables the logic analyzer to regain resynchronization. Other embodiments are described and claimed.
    Type: Application
    Filed: March 31, 2009
    Publication date: September 30, 2010
    Inventors: Keith Drescher, Debendra Das Sharma, David Sams, Richard Glass
  • Patent number: 7805644
    Abstract: A system on a single integrated circuit chip (SoC) includes a plurality of operational circuits to be tested. A plurality of programmable built-in self-test (pBIST) controllers is connected to respective ones of the plurality of operational circuits in a manner that allows the pBIST controllers to test the respective operation circuits in parallel. An interface is connected to each of the plurality of pBIST controllers for connection to an external tester to facilitate programming of each of the plurality of pBIST controllers by the external tester, such that the plurality of pBIST controllers are operable to test the plurality of operational circuits in parallel and report the results of the parallel tests to the external tester, thereby reducing test time.
    Type: Grant
    Filed: December 29, 2007
    Date of Patent: September 28, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Raguram Damodaran, Umang Bharatkumar Thakkar, John David Sayre
  • Patent number: 7805646
    Abstract: An LSI internal signal observing circuit includes a pad; a monitor line connected to the pad and wired inside an LSI; a shield line wired adjacent to the monitor line and having a fixed potential; a buffer having an output enable terminal and connected to an internal node in the LSI; and a capacitor connected between an output of the buffer and the monitor line, wherein an output enable signal input to the enable terminal is controlled to set the buffer in an output enable state, and a change of a signal at the internal node is superposed on the monitor line through the capacitor and observed at the pad.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: September 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shuichi Takada
  • Patent number: 7802160
    Abstract: A test apparatus that tests a device under test is provided, including a driver section that supplies a test signal to a corresponding pin of the device under test, a judgment section that makes a judgment concerning pass/fail of the device under test based on the response signal output by the device under test in response to the test signal, a voltage measuring section that detects a DC voltage of the signal output by the driver section, and an output side adjusting section that adjusts a duty ratio of the signal output by the driver section according to the DC voltage detected by the voltage measuring section.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: September 21, 2010
    Assignee: Advantest Corporation
    Inventor: Shigeki Takizawa
  • Patent number: 7797591
    Abstract: A semiconductor integrated circuit has a memory circuit having memory cells, a first register, a second register, a register selection circuit having an input to which an output of the first register and an output of the second register are connected, a memory bypass circuit which is located between a first switching circuit and a second switching circuit, and connected to the inputs and the outputs of the memory circuit. The register selection circuit is switched to the output signals of the first register when performing testing by way of the memory circuit, and switched to output signals of the second register when performing testing by way of the memory bypass circuit.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: September 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsu Hasegawa, Chikako Tokunaga
  • Patent number: 7797596
    Abstract: A method for testing an integrated circuit implemented in an electronic system. The method includes placing an integrated circuit (or portion thereof) that is implemented in an operational system (e.g., in a computer system) in an offline status. An electrical parameter of the integrated system (e.g., a voltage, clock frequency, etc.) is set, and a built-in self-test (BIST) is conducted. Any failures that occur during the BIST are recorded. Testing is then repeated for each of a plurality of predetermined values of the electrical parameter, recording any failures that occur. Once testing is complete a failure rate/range is determined for each of the predetermined values.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: September 14, 2010
    Assignee: Oracle America, Inc.
    Inventors: Anand Dixit, Raymond A. Heald, Steven R. Boyle
  • Patent number: 7793177
    Abstract: A chip testing device having a plurality of testing units is provided. Each testing unit comprises a selector, a flip-flop unit, a first buffer and a second buffer. The selector is controlled by a control signal and has a first input terminal, a feedback input terminal, and a first output terminal. The flip-flop unit has a second input terminal coupled to the first output terminal, a clock signal input terminal for receiving a reference clock signal, and a second output terminal outputting an output data. The first buffer is coupled to the flip-flop unit to convert the output data to a high voltage data, and outputs the high voltage data. The second buffer is coupled to the first buffer to convert high voltage data to low voltage data and transmit the low voltage data to the feedback input terminal.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: September 7, 2010
    Assignee: Princeton Technology Corporation
    Inventors: Yen-Wen Chen, Yen-Ynn Chou
  • Patent number: 7793185
    Abstract: The invention relates to an integrated circuit for a data transmission system comprising a) a plurality of functional units, b) a TAP controller, according to IEEE 1149, having a JTAG interface, and c) a test unit for testing the functionality of the functional units, whereby the test unit has at least two operating modes and at least one gate terminal for switching between the operating modes and is designed to connect circuit points, assigned to a specific operating mode, of the functional units to terminals of the integrated circuit, when the test unit is operated in the specific operating mode. According to the invention, the at least one gate terminal of the test unit is connected to the TAP controller and the integrated circuit is designed to switch between the operating modes depending on the internal states of the TAP controller. The invention relates furthermore to a receiving device of a data transmission system.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: September 7, 2010
    Assignee: Atmel Automotive GmbH
    Inventor: Richard Geissler
  • Patent number: 7793184
    Abstract: A method, system and computer readable medium for on-chip testing is presented. In one embodiment, the method, system or computer readable medium includes identifying which LBIST channels of a plurality of LBIST channels do not contribute to a particular test and excluding from that particular test each LBIST channel that does not contribute to that particular test.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven M. Douskey
  • Patent number: 7793187
    Abstract: Provided are a method and system checking output from multiple execution units. Execution units concurrently execute test instructions to generate test output, wherein test instructions are transferred to the execution units from a cache coupled to the execution units over a bus. The test output from the execution units is compared to determine whether the output from the execution units indicates the execution units are properly concurrently executing test instructions. The result of the comparing of the test output are forwarded to a design test unit.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: September 7, 2010
    Assignee: Intel Corporation
    Inventors: Allan Wong, Lance Cheney
  • Patent number: 7793171
    Abstract: Embodiments of the present invention provide a protocol tester for performing a protocol test, said protocol tester exhibiting an input for the feeding in of data, a protocol decoding device for the decoding of data, and an output for providing the decoded data, the protocol tester also comprising a device for measuring the bit error rate. A corresponding method for performing a protocol test is also provided.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: September 7, 2010
    Assignee: Tektronix, Inc.
    Inventor: Juergen Forsbach
  • Patent number: 7774671
    Abstract: According to embodiments of the present invention, an integrated circuit such as a processor includes a counter to count an actual number of unreliable storage locations in the processor cache, at least one register to store an acceptable number of unreliable storage locations for the cache, a detector to measure a thermal environment of the processor, and circuitry to raise an operating voltage of the processor if the actual number of unreliable storage locations exceeds the acceptable number of unreliable storage locations, and if the thermal environment is acceptable.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: August 10, 2010
    Assignee: Intel Corporation
    Inventor: Morgan J. Dempsey
  • Patent number: 7770081
    Abstract: An interface circuit for a single logic input pin of an electronic system, comprising a decoder for converting a pulse coded signal applied to said pin to a sequence of logic low and logic high values, and a state machine responsive to said sequence of logic values to switch the electronic system between different modes of operation.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: August 3, 2010
    Assignee: Texas Instruments Deutschland GmbH
    Inventor: Dieter Merk
  • Publication number: 20100192031
    Abstract: An integrated circuit comprising (i) a plurality of portions, each portion including test control circuitry; and (ii) at least one test input arranged to receive test signals, the circuit having a test mode in which one or more of the plurality of portions are testable, wherein the circuit has a reset mode which has priority over the test mode.
    Type: Application
    Filed: January 15, 2010
    Publication date: July 29, 2010
    Applicant: STMICROELECTRONICS LIMITED
    Inventor: Robert Warren
  • Patent number: 7765479
    Abstract: A method and system for selection of recordable events from among a set of recordable events, based on categories and sub-categories, that cumulatively describe a substantial portion of the entire set of recordable events. After receiving a first set of categories, and first sets of sub-categories corresponding to the first set of categories, at a device, the device is configured to use the first set of categories and the first set of sub-categories, to guide a user in selecting a series of recordable events to experience and/or record. Thereafter, the device receives a second set of categories and respective second sets of sub-categories that correspond to the categories of the second set of categories, and the device responsively configures itself to use the second set of categories and the second sets of sub-categories, to guide a user in selecting a series of recordable events to experience and/or record.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: July 27, 2010
    Assignee: The DirecTV Group, Inc.
    Inventors: Mark D. Goodwin, Lynda Kitlyn Seto, David Allen Westerhoff
  • Patent number: 7761764
    Abstract: A system and method for self-test of an integrated circuit are disclosed. As one example, an integrated circuit is disclosed. The integrated circuit includes a digital signal processing chain, a random sequence generator coupled to an input of the digital signal processing chain, and a checksum calculator coupled to an output of the digital signal processing chain.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: William M. Hurley
  • Patent number: 7761762
    Abstract: A method implemented in a test system comprises a test debug system and a target system, said target system comprising a test access port that functions according to a plurality of states and also comprising an adapter. The method comprises the adapter transferring data to the test debug system while the test access port remains in a predefined state. The predefined state comprises a state in which no scans occur.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: July 20, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Patent number: 7755960
    Abstract: A memory includes a plurality of memory cells each including a true data input connected to a true bit line and complementary data input connected to a complementary bit line, and two inverters connected head-to-tail firstly to the true data input and secondly to the complementary data input. The memory also includes a test circuit includes a plurality of test cells, each test cell includes a true data input connected to a complementary data input of the preceding test cell and a complementary data input connected to the true data input of the following test cell, the complementary data input of the last test cell being connected to the true data input of the first test cell, each test cell comprising a first inverter connected between the true data input and the complementary data input. The looped chain thus formed propagates a signal whose period is a function of the performance of the storage cells.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: July 13, 2010
    Assignee: STMicroelectronics SA
    Inventors: Bertrand Borot, Emmanuel Bechet
  • Publication number: 20100174958
    Abstract: A test circuit includes a plurality of TAP controllers conforming to a standard specification defined in IEEE 1149 and includes a master TAP controller which receives a control code and a test control signal and performs a test on a circuit to be tested and which outputs a shift mode signal, a first slave TAP controller which receives the control code and the test control signal and performs a test on a circuit to be tested, and a first TAP pin control circuit provided to correspond to the first slave TAP controller and which switches between inputting the control code to the first slave TAP controller from the outside and inputting the control code through the master TAP controller, on the basis of the shift mode signal.
    Type: Application
    Filed: January 5, 2010
    Publication date: July 8, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Toshiyuki Maeda, Yoshiyuki Nakamura
  • Patent number: 7752512
    Abstract: A semiconductor integrated circuit includes: a first circuit having a plurality of scan chains; a second circuit connected with input/output signals of the first circuit; and a third circuit connected with the second circuit through the first circuit. The plurality of scan chains comprises a first scan chain that contains flip-flops whose input/output signals are connected with the second circuit, and a second scan chain that does not contain any flip-flop whose input/output signal is connected with the second circuit. The flip-flops operate as a shift register at a scan path test, and when the third circuit exchanges signals with the second circuit through the flip-flops of the first scan chain, the second scan chain of the first circuit operates as a shift register.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: July 6, 2010
    Assignee: NEC Corporation
    Inventor: Itsuo Hidaka
  • Patent number: 7752510
    Abstract: An integrated device comprises a functional circuit, a test circuit for testing the functional circuit and for providing an error data item and a register element for storing the error data item and for outputting the error data item at an error data output of the integrated device responsive to an output signal. The register element is connected to a data input of the integrated device in order to accept a data item, which is applied to the data input, responsive to the output signal.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: July 6, 2010
    Assignee: Qimonda AG
    Inventors: Manfred Proell, Stephan Schroeder, Wolfgang Ruf, Hermann Haas
  • Patent number: 7747901
    Abstract: Control commands are transmitted via an emulation interface holding a test clock signal at a constant value and switching a test mode select signal a number of times corresponding to the control command. A receiving system counts switches of the test mode select signal switches while the test clock is constant and interprets the number of switches as a corresponding control command.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: June 29, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Publication number: 20100162061
    Abstract: An architecture for testing a plurality of circuits on an integrated circuit is described. The architecture includes a TAP Linking Module located between test pins on the integrated circuit and 1149.1 Test Access Ports (TAP) of the plurality of circuits to be tested. The TAP Linking Module operates in response to 1149.1 scan operations from a tester connected to the test pins to selectively switch between 1149.1 TAPs to enable test access between the tester and plurality of circuits. The TAP Linking Module's 1149.1 TAP switching operation is based upon augmenting 1149.1 instruction patterns to affix an additional bit or bits of information which is used by the TAP Linking Module for performing the TAP switching operation.
    Type: Application
    Filed: August 11, 2009
    Publication date: June 24, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Baher S. Haroun, Lee D. Whetsel
  • Patent number: 7743301
    Abstract: A semiconductor integrated circuit includes an MISR (Multiple-Input Signature Register) for generating and storing compressed code based upon code from a ROM, and for reading out and outputting the compressed data that has been stored. The MISR has a clock change-over unit for changing over a clock in such a manner that the MISR is caused to operate at a high-speed clock when the compressed data is generated and stored, and at a low-speed clock when the stored compressed data is read out and output.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: June 22, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Yasunori Sawai
  • Patent number: 7743302
    Abstract: The present disclosure describes embodiments of a compactor for compressing test results in an integrated circuit and methods for using and designing such embodiments. The disclosed compactors can be utilized, for example, as part of any scan-based design. Moreover, any of the disclosed compactors can be designed, simulated, and/or verified in a computer-executed application, such as an electronic-design-automation (“EDA”) software tool. Embodiments of a method for diagnosing faults in the disclosed compactor embodiments are also described.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: June 22, 2010
    Inventors: Janusz Rajski, Jerzy Tyszer, Chen Wang, Grzegorz Mrugalski, Artur Pogiel
  • Patent number: 7743294
    Abstract: A system is described having a JTAG diagnostic unit and a serial wire diagnostic unit. A watcher unit is connected to a data connection shared between the diagnostic units. Special patterns detected upon the shared data connection serve to switch between diagnostic modes with respective ones of the diagnostic units becoming active.
    Type: Grant
    Filed: November 20, 2006
    Date of Patent: June 22, 2010
    Assignee: ARM Limited
    Inventors: Peter Logan Harrod, Edmond John Simon Ashfield, Thomas Sean Houlihane, Paul Kimelman, Simon John Craske, Michael John Williams
  • Publication number: 20100153798
    Abstract: The present disclosure describes a novel method and apparatus of using the JTAG TAP's TMS and TCK terminals as a general purpose serial Input/Output (I/O) bus. According to the present disclosure, the TAP's TMS terminal is used as a clock signal and the TCK terminal is used as a bidirectional data signal to allow serial communication to occur between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC.
    Type: Application
    Filed: February 25, 2010
    Publication date: June 17, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20100153797
    Abstract: In an apparatus including a joint test action group (JTAG) authentication device, and a JTAG authentication method using the apparatus, the apparatus includes a joint test action group (JTAG) authentication device, the apparatus comprising a JTAG access circuit that determines whether to access a JTAG-compliant device according to a predetermined protocol that governs the JTAG-compliant device and the apparatus, wherein the JTAG access circuit at least one of inactivates at least one of inner bus lines and inner units and activates the at least one of the inner bus lines and the inner units according to whether the JTAG-compliant device is accessed.
    Type: Application
    Filed: December 8, 2009
    Publication date: June 17, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Yun-ho Youm, Mi-jung Noh, Hong-mook Choi, Xingguang Feng
  • Patent number: 7739563
    Abstract: A semiconductor integrated circuit is configured to test a high-speed memory at the actual operation speed of the memory, even when the operation speed of the built-in self-test circuit of the integrated circuit is restricted. In order to test a memory operating on a first clock, the integrated circuit is provided with a first test pattern generation section, operating on a second clock, for generating test data, and a second test pattern generation section, operating on a third clock, the inverted clock of the second clock, for generating test data. Furthermore, the integrated circuit is provided with a test data selection section for selectively outputting either the test data output from the first test pattern generation section or the test data output from the second test pattern generation section depending on the signal value of the second clock, thereby inputting the test data to the memory as test data.
    Type: Grant
    Filed: April 7, 2008
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventor: Osamu Ichikawa
  • Patent number: 7734973
    Abstract: An apparatus enables a high quality test to be carried out within a short time, without forcing a severe design limitation on the designer and without an expensive tester. The apparatus includes a pattern generator built in an integrated circuit to generate pseudo random patterns as test patterns. A plurality of shift registers are configured with sequential circuit elements inside said integrated circuit. An automatic test pattern generating unit generates ATPG patterns. A pattern modifier modifies a portion, to which a predetermined value is required to be set in order to detect a fault, in said pseudo random patterns generated by said pattern generator, on a basis of said ATPG patterns, and inputs said modified pseudo random patterns to said shift registers.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: June 8, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Takahisa Hiraide, Hitoshi Yamanaka
  • Patent number: 7734966
    Abstract: The present invention provides a method and system for improving memory testing efficiency, raising the speed of memory testing, detecting memory failures occurring at the memory operating frequency, and reducing data reported for redundancy repair analysis. The memory testing system includes a first memory tester extracting failed memory location information from the memory at a higher memory operating frequency, an external memory tester receiving failed memory location information at a lower memory tester frequency, and an interface between the first memory tester and the external memory tester. The memory testing method uses data strobes at the memory tester frequency to clock out failed memory location information obtained at the higher memory operating frequency. In addition, the inventive method reports only enough information to the external memory tester for it to determine row, column and single bit failures repairable with the available redundant resources.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: June 8, 2010
    Assignee: Marvell International Ltd.
    Inventors: Winston Lee, Albert Wu, Chorng-Lii Liou
  • Patent number: 7725785
    Abstract: Provided are a film-type semiconductor package and method using test pads shared by output channels, a test device, and a semiconductor device and method using patterns shared by test channels. The semiconductor device includes a film-type semiconductor package and a test device. The film-type semiconductor package outputs test signals through a plurality of test pads. The test device tests the film-type semiconductor package using the test signals. A printed circuit board (PCB) of the test device includes a plurality of common patterns, each of which connects at least two of a plurality of test channels to an input terminal, the test channels connecting the input terminals to the test pins.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: May 25, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Nam-jung Her
  • Patent number: 7725792
    Abstract: A dual-path, multimode sequential storage element (SSE) is described herein. In one example, the dual-path, multimode SSE comprises first and second sequential storage elements, a data input, a data output, and a selector mechanism. The first and second sequential storage elements each have an input and an output. The data input is coupled to the inputs of both sequential storage elements and is configured to accept data. The data output is coupled to the outputs of both sequential storage elements and is configured to output the data. The selector mechanism is configured to select one of the sequential storage elements for passing the data from the data input to the data output. In one example, the first sequential storage element comprises a pulse-triggered storage element and the second sequential storage element comprises a master-slave storage element.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: May 25, 2010
    Assignee: QUALCOMM Incorporated
    Inventors: Manish Garg, Fadi Adel Hamdan
  • Patent number: 7725780
    Abstract: Methods and apparatuses for enabling a redundant memory element (20) during testing of a memory array (14). The memory array (14) includes general memory elements (18) and redundant memory elements (20). The general memory elements (18) are tested and any defective general memory elements (18) are replaced with redundant memory elements (20). The redundant memory elements (20) are tested only when they are enabled.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: May 25, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael R. Ouellette, Jeremy Rowland
  • Patent number: 7719907
    Abstract: A semiconductor memory device is capable of performing a normal operation, while detecting an internal voltage without a special bonding method during a test mode. The semiconductor memory device comprises a switching unit and an internal reference voltage generating unit. The switching unit transfers one of an internal and an external reference voltages according to whether a test mode is being performed, wherein the external reference voltage is input from outside of the semiconductor memory device. The internal reference voltage generating unit generates the internal reference voltage having the same level of the external reference voltage to thereby supply the internal reference inside the semiconductor memory device during the test mode.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: May 18, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Kee-Teok Park
  • Patent number: 7721167
    Abstract: A system for receiving Joint Task Action Group (JTAG) data bits from a device under test includes a deserializer that receives serial messages from the device under test and forms data frames based on the serial messages. A frame sync module communicates with the deserializer and forms JTAG data bits based on the data frames. N virtual JTAG test access ports (VTAPs), each having an input and an output. The N VTAPs are connected in a daisy chain and the input of a first VTAP receives the JTAG data bits from the frame sync module.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: May 18, 2010
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7721170
    Abstract: An apparatus for selectively implementing launch-off-scan capability in at-speed testing of integrated circuit devices includes a control device configured to selectively disable a master clock signal of a latch structure under test such that a pulse sequence of a system clock signal results in a slave-master-slave clock pulse sequence in the latch structure under test; wherein the control device utilizes the system clock signal as an input thereto and operates in a self-resetting fashion that is timing independent with respect to a scan chain.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gary D. Grise, Vikram Iyengar, David E. Lackey, Mark R. Taylor
  • Patent number: 7707472
    Abstract: Built-in self test techniques for testing circuit blocks on integrated circuits are provided. A BIST controller is provided on-chip to test two or more circuit blocks. High routing congestion is avoided by loading test data into the circuit blocks through scan chain segments that run continuously along the inputs and outputs of the circuit blocks. The BIST controller takes control of the scan chain segments during test and has the ability to partition the scan chains at specified intervals.
    Type: Grant
    Filed: May 17, 2004
    Date of Patent: April 27, 2010
    Assignee: Altera Corporation
    Inventor: Jayabrata Ghosh Dastidar
  • Publication number: 20100100785
    Abstract: An IC includes an IEEE 1149.1 standard test access port (TAP) interface and an additional Off-Chip TAP interface. The Off-Chip TAP interface connects to the TAP of another IC. The Off Chip TAP interface can be selected by a TAP Linking Module on the IC.
    Type: Application
    Filed: December 18, 2009
    Publication date: April 22, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7702972
    Abstract: SRAM macro sparing allows for full chip function despite the loss of one or more SRAM macros. The controls and data flow for any single macro within a protected group are made available to the spare or spares for that group. This allows a defective or failed SRAM macro to be shut off and replaced by a spare macro, dramatically increasing manufacturing yield and decreasing field replacement rates. The larger the protected group, the fewer the number of spares required for similar improvements in yield, but also the more difficult the task of making all the controls and dataflow available to the spare(s). In the case of the Level 2 Cache chip for the planned IBM Z6 computer, there are 4 protected groups with 192 SRAM macros per group. Each protected group is supplanted with an additional 2 spare SRAM macros, along with sparing controls and dataflow that allow either spare to replace any of the 192 protected SRAM macros.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Timothy Carl Bronson, Garrett Drapala, Hieu Trong Huynh, Patrick James Meaney
  • Publication number: 20100095178
    Abstract: An optimized JTAG interface is used to access JTAG Tap Domains within an integrated circuit. The interface requires fewer pins than the conventional JTAG interface and is thus more applicable than conventional JTAG interfaces on an integrated circuit where the availability of pins is limited. The interface may be used for a variety of serial communication operations such as, but not limited to, serial communication related integrated circuit test, emulation, debug, and/or trace operations.
    Type: Application
    Filed: December 17, 2009
    Publication date: April 15, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20100088564
    Abstract: A semiconductor IC capable of debugging two or more processors at the same time by means of a single debugger and a semiconductor IC test system. The semiconductor IC includes processors operating at different frequencies, a trigger circuit which causes all of the processors to be in a debugging state when one of the processors is in the debugging state, and a JTAG circuit applying a boundary scan operation to the processors connected to a JTAG pin in series.
    Type: Application
    Filed: December 8, 2009
    Publication date: April 8, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Shin-Chan KANG, Sun-Kyu KIM
  • Patent number: 7694201
    Abstract: A semiconductor testing device includes: a data memory which stores a test program, said test program generating a test command for testing a plurality of functions within one function area of a plurality of function areas of a semiconductor device, said test command being generated for said function area; a first area generation part which generates first data, said first data identifying one function area of said plurality of function areas, said plurality of functions of said one function area being tested; a main control part which generates said test command based on said test program and said first data and transmits said test command to said semiconductor device; a second area generation part which receives a first result, said first result being returned from said semiconductor device based on a first test in accordance with said test command and generates a second result based on said first result, said second result showing a pass or failure of said first test corresponding to said function area; an
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: April 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideyoshi Takai
  • Patent number: 7689887
    Abstract: A Built-In-Self-Test (BIST) state machine providing BIST testing operations associated with a thermal sensor device(s) located in proximity to the circuit(s) to which BIST testing operations are applied, and a design structure including the BIST state machine embodied in a machine readable medium are provided. The thermal sensor device compares the current temperature value sensed to a predetermined temperature threshold and determines whether the predetermined threshold is exceeded. A BIST control element suspends the BIST testing operation in response to meeting or exceeding said predetermined temperature threshold, and initiates resumption of BIST testing operations when the current temperature value normalizes or is reduced. A BIST testing methodology implements steps for mitigating the exceeded temperature threshold condition in response to determining that the predetermined temperature threshold is met or exceeded.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kevin W. Gorman, Emory D. Keller, Michael R. Ouellette
  • Patent number: 7688657
    Abstract: A test signal generating apparatus for a semiconductor integrated circuit includes a fuse control unit that generates a plurality of fuse enable signals in response to a clock and a power-up signal, and a plurality of test mode fuses that individually output test mode fuse signals so as to generate test signals in response to the fuse enable signals after a test mode is completed.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: March 30, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Joo Ha