Equivalence Checking Patents (Class 716/107)
  • Patent number: 8799838
    Abstract: Specific characteristics of a branch structure between a behavioral description and a hardware description, a structural dependence relation therebetween, and the like are extracted and used to shorten the time of processing for equivalence checking, thereby contributing to the shortening of a processing time required for equivalence checking for a high-level description and a behavioral synthesis result. Upon checking of the equivalence of a high-level description and a synthesis result obtained by performing a behavior synthesis on the high-level description according to a behavioral synthesis restriction, correspondence information between flip-flops with a feedback loop in the synthesis result and variables associated therewith with a backward data dependence relation in a high-level description is generated and used.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: August 5, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Tadaaki Tanimoto, Shintaro Imamura
  • Patent number: 8788992
    Abstract: A circuit design support method that is executed by a computer, includes calculating a first performance value of a circuit under design before a layout process, by inputting into a first function model that represents a performance value of the circuit under design before the layout process, the values of parameters among parameters of a second parameter group and corresponding to parameters of a first parameter group; acquiring a second performance value that is of the circuit under design after the layout process and obtained by simulating operation of the circuit under design after the layout process, using the values of the parameters of the second parameter group; and generating based on the calculated first performance value, the acquired second performance value, and the second parameter group, a second function model that represents a difference in the performance value of the circuit under design before and after the layout process.
    Type: Grant
    Filed: October 29, 2013
    Date of Patent: July 22, 2014
    Assignee: Fujitsu Limited
    Inventor: Yu Liu
  • Patent number: 8789004
    Abstract: A method and system optimizes or improves an electronic design by analyzing various signal paths in the electronic design and selecting certain critical paths, for example, failed-timing paths, to optimize. The optimizing method extracts the cascaded logic gates to create a megacell representing the function of the critical path, compare test parameters of the megacell with the critical path, and incorporate the megacell into the electronic design if the test parameters improve by an optimizing constraint.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: July 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Hui Chen, Shiue Tsong Shen, Cheok-Kei Lei
  • Patent number: 8788990
    Abstract: Method, apparatus and system for finding instances of a pattern in a main netlist include reading in the main netlist and the pattern that is used for finding pattern matches in the main netlist. The main netlist and the pattern include a plurality of vertices. Each of the vertices is a device or a net. Labels for the vertices are computed in both the pattern and the main netlist up to a depth appropriate for the pattern. A vertex of the pattern is identified and used in matching with one or more vertices in the main netlist at the depth appropriate for the pattern using the computed labels. The computed labels for each of the vertices of the main netlist are stored for possible reuse in subsequent pattern matches.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: July 22, 2014
    Assignee: Oracle America, Inc.
    Inventor: Douglas C. Meserve
  • Publication number: 20140189623
    Abstract: A method for circuit design includes a parasitic aware library embedded with one or more parameterized cells. The parasitic aware library is used to insert nets representing some but not all parasitic effects of a circuit into a circuit schematic enabling a single circuit schematic to be used for simulation of the circuit, parasitic verification of the circuit and LVS (Layout Versus Schematic) check. Only the single circuit schematic is required for the circuit design process and to form a mask set. Critical paths of the single circuit schematic are identified and parasitic effects are extracted and inserted into the schematic, enabling a pre-estimation of parasitic verification to be carried out and the LVS check to be carried out using a circuit schematic with some parasitic effects prior to the post-layout simulation in which all parasitic components of the layout are included.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chin-Sheng CHEN, Tsun-Yu YANG, Wei-Yi HU, Tao Wen CHUNG, Jui-Feng KUAN, Yi-Kan CHENG
  • Patent number: 8769448
    Abstract: In one embodiment, a method is provided for processing a circuit design having first and second sets of ports configured to couple to respective first and second sets of ports of a device on a hardware platform. In a data-acquisition mode, the circuit design is simulated using a user-selectable plug-in that couples the ports of the circuit design to an interface circuit. During the simulation, the interface circuit communicates data between respective ports of the circuit design and ports of the device. In a deployment mode, the circuit design is implemented in the hardware platform, in which the first and second sets of ports of the circuit design are respectively coupled to the first and second sets of ports of the device.
    Type: Grant
    Filed: January 23, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Arvind Sundararajan, Nabeel Shirazi, Sean P. Caffee
  • Patent number: 8769447
    Abstract: Apparatus and method for designing an electrical component including a processor and a user interface, enabling a user to input a desired characteristic of the electrical component, such as inductance or quality factor at an operating frequency for an integrated spiral inductor.
    Type: Grant
    Filed: October 7, 2009
    Date of Patent: July 1, 2014
    Assignee: Helic S. A.
    Inventors: Sotirios Bantas, Paschalis Zampoukis
  • Patent number: 8769460
    Abstract: A method of operating a data processing system to extract instances of devices contained in a description of an integrated circuit and data processing systems implementing that method are disclosed. A device instance database includes a plurality of instances of devices contained in the integrated circuit, each device instance identifying corresponding structures in the integrated circuit that are part of the device instance. A device type definition library is used to search for instances of devices and includes a plurality of device type definitions, one of the type definitions defining a compound device includes two devices included in the device instance database. The data processing system searches the device instance database for compound devices defined in device type definition library, and updates the device instance database when a new device is found.
    Type: Grant
    Filed: June 4, 2013
    Date of Patent: July 1, 2014
    Assignee: Agilent Technologies, Inc.
    Inventors: Anne Marie Hawkins, Ngangom Punmark Singh, Praveen V-S
  • Publication number: 20140181768
    Abstract: A method and apparatus for automated performance verification for integrated circuit design is described herein. The method includes test preparation and automated verification stages. The test preparation stage generates design feature-specific performance tests to meet expected performance goals under certain workloads using optimization approaches and for different design configurations. The automated verification stage is implemented by integrating functional, automated modules into a verification infrastructure. These modules include register transfer level (RTL) simulation, performance evaluation and performance publish modules. The RTL simulation module schedules performance testing jobs, runs a series of performance tests on simulation logic simultaneously and generates performance counters for each functional unit.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Inventors: Jian Yang, Pingping Shao, Houkun Li, Jerry Su, Chi Tang, Griffin Wang
  • Patent number: 8762907
    Abstract: An apparatus, a hierarchical method of equivalence checking a circuit design and equivalency checking after engineering change orders in a circuit design are disclosed herein. In one embodiment, a method of equivalence checking includes: (1) receiving a post-engineering change order (ECO) netlist of a first one of the functional blocks, wherein the post-ECO netlist has been verified employing an equivalence checker, (2) generating a top level netlist for the circuit design including the post-ECO netlist and a block netlist for a second one of the multiple functional blocks, (3) generating a top level register transfer level (RTL) for the circuit design including a RTL for the second functional block and (4) performing an equivalency check of the top level RTL to the top level netlist, wherein a RTL for the first functional block and the post-ECO netlist are black boxed for the performing.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: June 24, 2014
    Assignee: LSI Corporation
    Inventor: Arvind Shrivastava
  • Publication number: 20140173541
    Abstract: Method and apparatus for verifying debugging aspects of integrated circuit (IC) designs. In one aspect, an IP provider(s) can use the same process that isolated IP defect(s) to demonstrate to the customer (whether an IC designer or an IP consumer such as a smartphone manufacturer) that the debugging was successful, and that errors in operation will not recur. In another aspect, the invention provides a facility that enables the IP provider to demonstrate to an IP consumer that a repaired IP component will work under a sufficiently broad set of circumstances, without that demonstration revealing the provider's proprietary IP to the consumer.
    Type: Application
    Filed: December 19, 2012
    Publication date: June 19, 2014
    Applicant: CADENCE DESIGN SYSTEMS, INC.
    Inventor: David Guoqing Zhang
  • Patent number: 8756543
    Abstract: A method, system, and computer program product for verification of a state transition machine (STM) are provided in the illustrative embodiments. The STM representing the operation of a circuit configured to perform a computation is received. A segment of the STM is selected from a set of segments of the STM. A set of properties of the segment is determined. The set of properties is translated into a hardware description to form a translation. The segment is verified by verifying whether all relationships between a pre-condition and a post condition in the translation hold true for any set of inputs and any initial state of a hardware design under test. A verification result for the segment is generated. Verification results for each segment in the set of segments are combined to generate a verification result for the STM.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Viresh Paruthi, Peter Anthony Sandon, Jun Sawada
  • Patent number: 8756557
    Abstract: Various techniques for use in connection with automated circuit design and simulations are disclosed. In some embodiments, a method includes receiving initial condition signals from circuitry in a chip, and correlating values of at least some of the initial condition signals with objects in a hardware description language (HDL) used in simulation, wherein the HDL was used in describing at least some of the circuitry in the chip. Still other embodiments involve memory substitutions. Replicated circuitry may be in the same chip(s) are the design circuitry or a different chip(s). Still other embodiments are described.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: June 17, 2014
    Assignee: Synopsys, Inc.
    Inventors: Chun Kit Ng, Richard C. Maixner, Mario Larouche, Kenneth S. McElvain
  • Patent number: 8751210
    Abstract: When a wait statement is encountered in an HDL simulation, the simulation kernel executes functions corresponding to other processes while waiting for the wait to mature. However, the preservation of variables and states of each process and procedure in the call chain can be complex and inefficient. An embodiment of the present invention provides a method to suspend procedures in simulation of an HDL circuit design such that processes that call procedures containing wait statements are executed on a secondary runtime stack and can be suspended by saving the state of simulation and switching simulation execution to the primary runtime stack.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: June 10, 2014
    Assignee: Xilinx, Inc.
    Inventor: Sonal Santan
  • Patent number: 8745569
    Abstract: Provided is a simulation method for simulating electrical properties of a bidirectional switch formed as a single element and having a double gate structure. A simulation is performed using an equivalent circuit having a symmetrical structure in which a drain electrode of a JFET and a drain electrode of another JFET are connected via a resistor.
    Type: Grant
    Filed: April 22, 2013
    Date of Patent: June 3, 2014
    Assignee: Panasonic Corporation
    Inventors: Hiroaki Ueno, Satoshi Makioka, Manabu Yanagihara
  • Patent number: 8739091
    Abstract: A logic verification program, method and system that segments simulation results and then processes the resulting segments separately, and optionally in parallel, reduces memory and other system requirements and improves efficiency of verification of digital logic designs. The verification process fixes up event dependency check for past-directed checkers by including additional information with each segment after an initial segment that describes at least a portion of a state of the logic design, so that resultant events in the current segment that are caused by events in the previous segment(s) can be traced back to those events. Future directed checks are fixed-up by either repeating a failed check with a concatenation of the current segment and a next segment, or by providing an overlap between segments to ensure that the expected time duration between a causative event and the resulting event are included within the same segment file.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Eitan Marcus, Christopher J. Spandikow, Avi Ziv
  • Publication number: 20140143745
    Abstract: A logic verification program, method and system that segments simulation results and then processes the resulting segments separately, and optionally in parallel, reduces memory and other system requirements and improves efficiency of verification of digital logic designs. The verification process fixes up event dependency check for past-directed checkers by including additional information with each segment after an initial segment that describes at least a portion of a state of the logic design, so that resultant events in the current segment that are caused by events in the previous segment(s) can be traced back to those events. Future directed checks are fixed-up by either repeating a failed check with a concatenation of the current segment and a next segment, or by providing an overlap between segments to ensure that the expected time duration between a causative event and the resulting event are included within the same segment file.
    Type: Application
    Filed: November 19, 2012
    Publication date: May 22, 2014
    Applicant: International Business Machines Corporation
    Inventors: Eitan Marcus, Christopher Spandikow, Avi Ziv
  • Patent number: 8732634
    Abstract: A method for designing a system on a target device is disclosed. A first netlist is generated or a first version of the system in a first compilation. Optimizations are performed on the first version of the system during synthesis resulting in a second netlist. A third netlist is generated or a second version of the system in a second compilation. The first version of the system in the first netlist and the second version of the system in the third netlist are differentiated to identify identical regions.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: Doris Tzu Lang Chen, Deshanand Singh
  • Patent number: 8732632
    Abstract: SOC designs increasingly feature IP cores with standardized wrapper cells having vendor-provided test patterns for the internal logic. To test wrapper, interconnect, and other boundary logic, a boundary model is extracted from the design in a synthesis or ATPG environment. Wrapper cells are identified and boundary logic extracted by structural tracing of wrapper chains and tracing from core inputs/outputs to the wrapper cells. A created boundary model excludes core internal logic tested by vendor-provided test patterns to be migrated to the containing chip interface. An SOC ATPG model is built including boundary models for all embedded cores, interconnects, and any other logic residing at the SOC top hierarchical level. This model is very compact yet accurate for testing logic external to all embedded cores. Test time is reduced and test pattern generation greatly simplified, while featuring good test coverage. The same approach is used for 3D packages having multiple dies.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brion Keller, Pradeep Nagaraj, Richard Schoonover, Vivek Chickermane
  • Patent number: 8732637
    Abstract: Methods and apparatuses are described for formally verifying a bit-serial division circuit design or a bit-serial square-root circuit design. Some embodiments formally verify a bit-serial division circuit design using a set of properties that can be efficiently proven using a bit-level solver. In some embodiments, the set of properties that are used for verifying a bit-serial division circuit design does not include any terms that multiply a w-bit partial quotient with the divisor. Some embodiments formally verify a bit-serial square-root circuit design using a set of properties that can be efficiently proven using a bit-level solver. In some embodiments, the set of properties that are used for verifying a bit-serial square-root circuit design does not include any terms that compute a square of a w-bit partial square-root.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: May 20, 2014
    Assignee: Synopsys, Inc.
    Inventors: Himanshu Jain, Carl P. Pixley
  • Publication number: 20140137058
    Abstract: Systems and methods for validating a circuit design are described. The circuit validation includes determining a subset of checks to apply to a portion of the overall circuit based on the pin type composition of the circuit portion.
    Type: Application
    Filed: January 22, 2014
    Publication date: May 15, 2014
    Applicant: VALYDATE INC.
    Inventors: MICHAEL ALAM, PETER CAMPBELL, MARK CIANFAGLIONE
  • Publication number: 20140137057
    Abstract: A computer-implemented method, computerized apparatus, and computer program product for test validation planning. The computer-implemented method, performed by a processor, comprising: having a test validation activity to be performed to validate results of two or more tests of a test suite; and automatically determining, by a processor, a subset of the two or more tests for which to perform the test validation activity; whereby avoiding performing duplicate validation activities. Optionally, for each test of the test suite a valuation of a set of functional attributes is available, and a subset of the functional attributes is deemed as relevant functional attributes with respect to the test validation activity. In such an embodiment, said determining is based on the valuation of the relevant functional attributes.
    Type: Application
    Filed: November 14, 2012
    Publication date: May 15, 2014
    Applicant: International Business Machines Corporation
    Inventors: Rachel Tzoref-Brill, Itai Segall, Aviad Zlotnick
  • Publication number: 20140129998
    Abstract: An apparatus, a hierarchical method of equivalence checking a circuit design and equivalency checking after engineering change orders in a circuit design are disclosed herein. In one embodiment, a method of equivalence checking includes: (1) receiving a post-engineering change order (ECO) netlist of a first one of the functional blocks, wherein the post-ECO netlist has been verified employing an equivalence checker, (2) generating a top level netlist for the circuit design including the post-ECO netlist and a block netlist for a second one of the multiple functional blocks, (3) generating a top level register transfer level (RTL) for the circuit design including a RTL for the second functional block and (4) performing an equivalency check of the top level RTL to the top level netlist, wherein a RTL for the first functional block and the post-ECO netlist are black boxed for the performing.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 8, 2014
    Applicant: LSI CORPORATION
    Inventor: Arvind Shrivastava
  • Patent number: 8718998
    Abstract: In an embodiment, the design of a digital circuit may be analyzed to identify which uninitialized memory elements, such as flops, have initial don't care values. The analysis may include determining that that each possible initial value (e.g. zero and one) of the flops does not impact the outputs of circuitry to which the uninitialized flops are connected. For example, a model may be generated that includes two instances of the uninitialized flops and corresponding logic circuitry. The inputs of the two instances may be connected together, and the uninitialized flops may be initialized to zero in one instance and one in the other instance. If the outputs of the two instances are equal for any input stimulus, the initial value of the uninitialized flops may be don't cares. The flops may be safely initialized to a known value for simulation.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: May 6, 2014
    Assignee: Apple Inc.
    Inventor: Nimrod Agmon
  • Publication number: 20140123088
    Abstract: The embodiment is a non-transitory computer readable storage medium storing a design support program which causes a computer to generate design data for a circuit board in which elements are placed. The program causes the computer to perform: storing, in response to an operation input, operation information in an operation storage section; storing a function of a program executed based on the operation input in a function history storage section; upon detection of an operation of a command causing the computer to execute a predetermined function for generating the design data, acquiring a selected element and storing the selected element in an element information storage section; and detecting an abnormal end of the predetermined function to output the function of the program in the function history storage section, the operation information in the operation information storage section, and the element in the element information storage section to a log file.
    Type: Application
    Filed: August 29, 2013
    Publication date: May 1, 2014
    Applicant: Fujitsu Limited
    Inventor: Motoyuki TANISHO
  • Publication number: 20140123085
    Abstract: A method includes generating a circuit design and executing a simulation of the circuit design at a plurality of time slices. Type 1 damage and type 2 damage are determined for each time slice. A total type 1 damage is provided as a sum of the type 1 damage for all of the slices in which type 1 damage is greater than type 2 damage. A total type 2 damage is similarly added for the slices where the type 2 damage is dominant. A type 1 aging effect is determined based on the total type 1 damage. A type 2 aging effect is determined based on the total type 2 damage. The type 1 aging effect is added to the type 2 aging effect to obtain a total aging effect. The circuit design is tested using the total aging effect to determine if the circuit design provides adequate lifetime performance.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Inventors: MEHUL D. SHROFF, PETER P. ABRAMOWITZ
  • Publication number: 20140115549
    Abstract: A method for producing a verified design of a digital to analog converter (DAC) starts with providing an HDL representation of the DAC. Numerical values of the analog output signal as a function of the representation of the DAC for a range of numerical values of the digital input signal are simulated with a simulator. A model is used for converting the simulated numerical values of the analog output signal to numerical values of an equivalent model signal in the same digital format as the input signal. A comparator compares the numerical values of the input signal and the model signal and determines differences greater than a defined tolerance.
    Type: Application
    Filed: August 13, 2013
    Publication date: April 24, 2014
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Cheng Wang, Chao Liang, Geng Zhong
  • Patent number: 8701074
    Abstract: Modes of a circuit are merged together to reduce the number of modes. Subsets of modes are identified such that modes belonging to each subset are mergeable. A set of modes is mergeable if every pair of modes in the set is mergeable. Constraints of modes belonging to each pair of modes are compared to determine whether two modes are mergeable. To allow two modes to be merged, a constraint is transformed such that it affects the same paths in the merged mode and the first mode but excludes paths from the second mode. Determining whether two modes are mergeable may include verifying whether a clock in one mode blocks propagation of a clock in another mode and whether a value specified in a constraint in a mode is within specified tolerance of the value of a corresponding constraint in another mode.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: April 15, 2014
    Assignee: Synopsys, Inc.
    Inventors: Subramanyam Sripada, Cho Moon
  • Publication number: 20140101628
    Abstract: According to exemplary embodiments, a computer implemented method for functional testing of a processor design includes accessing a test template from a library of test templates, wherein the test template is configured to test a first selected function of the processor and inputting the test template to an automated test generation tool executed by a computer. The method further includes generating an instruction sequence based on the test template by the automated test generation tool and injecting an event instruction to the instruction sequence during the generating of the instruction sequence by the automated test generation tool, the injecting of the event instruction preserving testing of the first selected function of the processor and the event instruction being configured to test a second selected function of the processor. The method includes verifying a function of the processor by analyzing responses of the processor to the instruction sequence.
    Type: Application
    Filed: December 12, 2013
    Publication date: April 10, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eli Almog, Olaf K. Hendrickson, Christopher A. Krygowski
  • Publication number: 20140096097
    Abstract: An apparatus having a core and one or more logic blocks is disclosed. The core may be embedded within the apparatus. The core is generally (i) configured to perform a function and (ii) wrapped internally by a first scan chain before being embedded within the apparatus. The logic blocks may be (i) positioned external to the core and (ii) coupled to one or more parallel interfaces of the first scan chain. A second scan chain may be configured to wrap both the logic blocks and the core.
    Type: Application
    Filed: October 2, 2012
    Publication date: April 3, 2014
    Applicant: LSI CORPORATION
    Inventors: Narendra B. Devta Prasanna, Saket K. Goyal, Vankat Rajesh Atluri
  • Patent number: 8689155
    Abstract: Some aspects of the present disclosure provide for a system and method to discover which parts of a design a formal test suite can detect faults in, and thus how much of a design structure is covered by a property set. A mutatable RTL design is defined which allows for modification of a part of an RTL design from its intended behavior to a non-intended behavior, thus introducing unwanted effects. The mutatable RTL design can then be synthesized to produce a functional representation of the design. The property set can be re-run on the synthesized design to see whether the functional representation of the design is sensitive to the unwanted effect and thus whether formal verification can detect the modification.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: April 1, 2014
    Assignee: Infineon Technologies AG
    Inventor: Darren Galpin
  • Publication number: 20140089873
    Abstract: An automated process identifies which components that retain their state need to be resettable in a design. The design is analyzed to identify components that retain their state and are non-resettable. A set of simulation tests is run on the design, where each test is known to pass when all components that retain their state are reset at reset. The tests are run with a respective logic value (1 or 0) randomly assigned to each non-resettable component at reset, until a test run fails. The failed test is rerun a specified number of times, each time with a different set of randomly assigned logic values provided to non-resettable components at reset. For each run, statistics are logged for each non-resettable component according to the test results and the logic value provided to the non-resettable component. The process determines which non-resettable components need to be resettable according to the statistics.
    Type: Application
    Filed: September 26, 2012
    Publication date: March 27, 2014
    Applicant: APPLE INC.
    Inventors: Edmond R. Bures, Fritz A. Boehm
  • Patent number: 8677300
    Abstract: Contour-related information for geometric elements in layout design data is obtained. Relevant portions of the contour-related information are provided to a canonical hash function, from which a canonical signature for the layout design data is generated.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: March 18, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Sandeep Koranne, Bikram Garg
  • Patent number: 8655797
    Abstract: Logic circuits provide networks to simulate the functions of neural networks of the brain, and can discriminate degrees of state, and combinations of degrees of state, corresponding to a number of neurons. Logic circuits comprise Recursive AND NOT Conjunctions (RANCs), or AND NOT gates. A RANC is a general logic circuit that performs conjunctions for 2n possible combinations of truth values of n propositions. The RANCs function dynamically, with capabilities of excitation and inhibition. Networks of RANCs are capable of subserving a variety of brain functions, including creative and analytical thought processes. A complete n-RANC produces all conjunctions corresponding to the 2n possible combinations of truth values of n propositions.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: February 18, 2014
    Inventor: Lane D. Yoder
  • Patent number: 8650518
    Abstract: A system for extracting a layout from an object in a fabric includes means for providing fabric data to a rule-based layout extraction engine; means for maintaining a layout extraction rule to select a layout object from the fabric data; means for maintaining a binding rule to bind the layout object to a solver; means for maintaining a boundary rule to specify a boundary condition for a solver; and means for executing the solver on the layout object to generate a model of the object.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: February 11, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Utpal Bhattacharya, Sanjay Gupta, Tarun Beri, Mohd Vaseem
  • Patent number: 8650522
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for example, circuit design information indicative of a first inductor and a second inductor is received. A dipole moment associated with the first inductor is determined, where the magnetic field associated with the dipole moment is representative of magnetic fields created by respective turns in the first inductor. A mutual inductance between the first inductor and the second inductor is determined by determining a magnetic flux of the magnetic field of the dipole moment through surfaces bounded by respective wire segments of the second inductor.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: February 11, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8640065
    Abstract: In one exemplary embodiment of the invention, a method includes: receiving a first description for a circuit whose operation over a plurality of inputs is to be verified; receiving a second description for expected behavior of the circuit, where the expected behavior in the second description is expressed as a set of algebraic systems of multivariable polynomials over at least one Galois field; applying at least one computational algebraic geometry technique to a combination of the first description and the second description to determine whether the circuit is verified, where verification of the circuit confirms that at least one output obtained based on the first description corresponds to at least one expected value based on the expected behavior expressed in the second description; and outputting an indication as to whether the circuit is verified.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Gradus (Geert) Janssen, Luis Lastras-Montano, Alexey Y. Lvov, Viresh Paruthi, Robert Shadowen, Barry M. Trager, Shmuel Winograd, Ali El-Zein
  • Publication number: 20140019925
    Abstract: A method for testing a circuit specification after changing a first version of the circuit specification into a second version of the circuit specification due to a revision of the circuit specification includes receiving a first set of mutations that can be or have been inserted into the first version of the circuit specification and a second set of mutations that can be inserted into the second version of the circuit specification computer program. Changed and unchanged mutations are identified in the first set of mutations and in the second set of mutations based on a comparison between the second version of the circuit specification and against the first version of the circuit specification. Information configured to test the second version of the circuit specification is generated using at least a portion of the identified mutations classified as the changed mutations.
    Type: Application
    Filed: June 3, 2013
    Publication date: January 16, 2014
    Applicant: Synopsys, Inc.
    Inventors: Joerg Grosse, Mark Hampton
  • Publication number: 20140019926
    Abstract: A determining unit determines parameters indicating a relation among voltages and currents at input and output of each of a non-linear device model provided in a high frequency circuit model having a non-linear device and a circuit model of a passive element connected to the non-linear device model. A calculating unit calculates amplitude and phase of a voltage source providing a fundamental wave of an equivalent circuit model, based on an input power and an impedance matching condition preliminarily determined by a designer and parameters determined by the determining unit. In addition, the calculating unit calculates amplitude and phase of a voltage source providing a harmonic wave of the equivalent circuit model, based on a harmonic termination condition preliminarily determined by the designer and the parameters determined by the determining unit.
    Type: Application
    Filed: September 12, 2013
    Publication date: January 16, 2014
    Applicant: Fujitsu Limited
    Inventor: Takumi MIYASHITA
  • Patent number: 8631365
    Abstract: The memory building blocks can be used in conjunction with ASIC automatic design tools to generate a memory macro (e.g., a memory array) using a known ASIC design flow including, for example, register transfer level (RTL), synthesis, automatic place and route (APR) and timing analysis.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Subramani Kengeri, Chung-Cheng Chou, Bharath Upputuri, Hank Cheng, Ming-Zhang Kuo, Pey-Huey Chen
  • Patent number: 8627262
    Abstract: Individual mode timing constraints associated with a set of netlists are combined into merged mode timing constraints. An initial merged mode constraint is generated by combining timing constraints from individual modes. The initial merged mode includes the union of all timing constraints from individual modes that add timing relationships and the intersection of all timing constraints from the individual modes that remove timing relationships. Extraneous timing relationships are identified in the merged mode and eliminated by introducing timing constraints in the merged mode. Equivalence between the merged mode and the individual modes is verified by comparing timing relationships in the merged mode with timing relationships in the individual modes. The merged mode is considered equivalent to the individual modes if every timing relationship present in an individual mode is present in the merged mode and every timing relationship present in the merged mode is present in any of individual modes.
    Type: Grant
    Filed: December 6, 2010
    Date of Patent: January 7, 2014
    Assignee: Synopsys, Inc.
    Inventors: Subramanyam Sripada, Sonia Singhal, Cho Moon
  • Patent number: 8627248
    Abstract: Computer-implemented techniques are disclosed for verifying functional independence of logic designs that make use of redundant representations. Initially, the design of a logic component is obtained. Two representations of the component are computed, one in redundant form and another in non-redundant form. A randomness factor based on a time-varying value is injected into the second representation. The value from the second form is then constrained to the context of the logic component within a digital system. It is then possible to analyze the component using the first deterministic representation and the constrained second representation. This analysis allows verification of the component with downstream logic.
    Type: Grant
    Filed: July 28, 2012
    Date of Patent: January 7, 2014
    Assignee: Synopsys, Inc.
    Inventors: Yun Shao, Alexandre Ferreira Tenca
  • Patent number: 8612909
    Abstract: Logic blocks in a synthesized logic design that have specified inputs are identified by performing a two-pass analysis of the synthesized logic design. A number of levels is specified. A forward linear trace is performed to identify inputs at each level for each logic block, without regard to the specific function of each logic block. A list of potential equivalency points is generated from the forward linear trace. A reverse logical trace is then performed from the potential equivalency points to identify equivalent logic. When no equivalent logic exists, the analysis can specify one or more additional inputs, or one or more missing inputs, to determine whether similar logic exists that could be replicated and modified to achieve the desired function.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: December 17, 2013
    Assignee: International Business Machines Corporation
    Inventor: Lance R. Meyer
  • Patent number: 8612920
    Abstract: A field device, comprising a sensor, and a control/evaluation unit. The control/evaluation unit is implemented on an application-specific integrated circuit—an ASIC—which, in at least a first section and in a second section, is embodied as a dynamically reconfigurable logic chip. In each of the two sections, in each case, a measuring path composed of a plurality of function modules can be configured; wherein the individual sections are spaced apart from one another in such a manner, that a temperature and/or a voltage change in one of the sections has no influence on the other section or the other sections. The control/evaluation unit partially dynamically reconfigures the function modules in the measuring paths as a function of the particular defined safety-critical application, so that the field device fulfills the required safety standard.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: December 17, 2013
    Assignee: Endress + Hauser GmbH + Co. KG
    Inventors: Romuald Girardey, Michael Hübner
  • Patent number: 8607186
    Abstract: Individual mode timing constraints associated with a set of netlists are combined into merged mode timing constraints. An initial merged mode constraint is generated by combining timing constraints from individual modes. The initial merged mode includes the union of all timing constraints from individual modes that add timing relationships and the intersection of all timing constraints from the individual modes that remove timing relationships. Extraneous timing relationships are identified in the merged mode and eliminated by introducing timing constraints in the merged mode. Equivalence between the merged mode and the individual modes is verified by comparing timing relationships in the merged mode with timing relationships in the individual modes. The merged mode is considered equivalent to the individual modes if every timing relationship present in an individual mode is present in the merged mode and every timing relationship present in the merged mode is present in any of individual modes.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: December 10, 2013
    Assignee: Synopsys, Inc.
    Inventors: Subramanyam Sripada, Sonia Singhal, Cho Moon
  • Patent number: 8607175
    Abstract: Logic blocks in a synthesized logic design that have specified inputs are identified by performing a two-pass analysis of the synthesized logic design. A number of levels is specified. A forward linear trace is performed to identify inputs at each level for each logic block, without regard to the specific function of each logic block. A list of potential equivalency points is generated from the forward linear trace. A reverse logical trace is then performed from the potential equivalency points to identify equivalent logic. When no equivalent logic exists, the analysis can specify one or more additional inputs, or one or more missing inputs, to determine whether similar logic exists that could be replicated and modified to achieve the desired function.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: December 10, 2013
    Assignee: International Business Machines Corporation
    Inventor: Lance R. Meyer
  • Publication number: 20130326442
    Abstract: A method of reliability evaluation and system fail warning using on chip parametric monitors. The method includes determining impact of parametric variation on reliability by identifying key parametric questions to be answered by stress, identifying parametric macros for each parameter, and identifying layout sensitive areas of evaluation. The process can also include a set of parametric macros in one of a test site or a product to be stressed, testing the set of parametric macros prior to start of stress and at each stress read out, and setting life time parameter profile for technology.
    Type: Application
    Filed: August 6, 2013
    Publication date: December 5, 2013
    Applicant: Mentor Graphics Corporation
    Inventors: Jeanne P. Bickford, John R. Goss, Nazmul Habib, Robert McMahon
  • Patent number: 8601414
    Abstract: A system and methods are provided for verifying a hardware design for an electronic circuit. The method may include: providing a hardware design description for the electronic circuit; extracting a set of design constraints from the hardware design description, where the set of design constraints represents the electronic circuit in terms of signals and logical operations performed on the signals; creating an abstraction model from the set of design constraints, where the abstraction model abstracts one or more of the logical operations in the set of design constraints by replacing the abstracted logical operations with uninterpreted functions; and property checking the abstraction model in relation to one or more design properties. When a violation in the electronic circuit is detected by the property checking step, the feasibility of the violation is then checked and, if the violation is deemed infeasible, the abstraction model is refined.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: December 3, 2013
    Assignee: The Regents of The University of Michigan
    Inventors: Zaher Andraus, Karem A. Sakallah, Mark Liffiton
  • Patent number: 8601415
    Abstract: Methods, systems, and computer program products may provide planning for hardware-accelerated functional verification in data processing systems. A method may include receiving, by a computer system, a description of architecture of a hardware accelerator for accelerating functional verification of a circuit design, the architecture including a plurality of logical processors. The method may additionally include receiving, by the computer system, a description of the circuit design having a plurality of gates, and representing, by the computer system, each gate, each stage of the functional verification, and each logical processor as a separate object based on the received description of the architecture and the circuit design.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: December 3, 2013
    Assignee: International Business Machines Corporation
    Inventor: Michael D Moffitt
  • Patent number: 8601417
    Abstract: Systems and methods for identifying a Boolean function as either a threshold function or a non-threshold function are disclosed. In one embodiment, in order to identify a Boolean function as either a threshold function or a non-threshold function, a determination is first made as to whether the Boolean function satisfies one or more predefined conditions for being a threshold function, where the one or more predefined conditions include a condition that both a positive cofactor and a negative cofactor of the Boolean function are threshold functions. If the one or more predefined conditions are satisfied, a determination is made as to whether weights for the positive and negative cofactors are equal. If the weights for the cofactors are equal, then the Boolean function is determined to be a threshold function. Further, in one embodiment, this threshold function identification process is utilized in a threshold circuit synthesis process.
    Type: Grant
    Filed: April 20, 2011
    Date of Patent: December 3, 2013
    Assignee: Arizona Board of Regents for and on behalf of Arizona State University
    Inventors: Tejaswi Gowda, Sarma Vrudhula