Equivalence Checking Patents (Class 716/107)
  • Patent number: 8181148
    Abstract: A chip design methodology. The methodology includes identifying engineering changeable logic, and replacing the identified engineering changeable logic with flexible logic blocks (FLB).
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: May 15, 2012
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Herzl, Robert S. Horton, Kenneth A. Lauricella, David W. Milton, Clarence R. Ogilvie, Paul M. Schanely, Nitin Sharma, Tad J. Wilder, Charles B. Winn
  • Patent number: 8181133
    Abstract: Aspects of a method and system for combinational equivalence checking for threshold logic circuits are provided. In this regard, one or more inputs may be received at a threshold logic gate. The threshold function of the threshold logic gate may be recursively decomposed into a first function and a second function using cofactors of the threshold function. A Boolean function representation of the threshold logic gate may be generated based on the recursive decomposition of the threshold function. The generated Boolean function representation of the threshold logic gate may be a maximally factored form representation of a minimal sum of products (SOP) for the threshold logic gate. A logical equivalence of the threshold logic gate may be verified with one or more other logic circuits based on the generated Boolean function representation of the threshold logic gate.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: May 15, 2012
    Assignee: Arizona Board of Regents for and on behalf of Arizona State University
    Inventors: Tejaswi Gowda, Sarma Vrudhula
  • Patent number: 8181134
    Abstract: A technique for conditional sequential equivalence checking of logic designs embodied in netlists includes creating an equivalence-checking netlist over a first netlist and a second netlist. The conditional sequential equivalence checking includes conditions under which equivalences of the first and second netlists are checked. The technique derives a set of candidate conditional equivalence invariants for each correlated gate in a correlated gate pair set and attempts to prove that each candidate conditional equivalence invariant in the set of candidate conditional equivalence invariants is accurate. The candidate conditional equivalence invariants that cannot be proven accurate are removed from the set of candidate conditional equivalence invariants. The candidate conditional equivalence invariants that have been proven accurate are recorded as a set of conditional equivalence invariants.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: May 15, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Hari Mony, Jun Sawada
  • Patent number: 8181135
    Abstract: A method of hold fault modeling and test generation. The method includes first modeling a fast-to-rise and a fast-to-fall hold fault for a plurality of circuit nets. Testing a fast-to-rise hold fault is accomplished by: setting up a logic value on each of the plurality of circuit nodes to 0; transitioning each of the plurality of circuit nodes from 0 to 1 with a single clock pulse; and determining if at least one downstream node was inadvertently impacted by the transitioning from 0 to 1. Testing a fast-to-fall hold is accomplished by: setting up a logic value on each of the plurality circuit nodes to 1; transitioning each of the plurality of circuit nodes from 1 to 0 with a single clock pulse; and determining if at least one downstream node was inadvertently impacted by the transitioning from 1 to 0.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: May 15, 2012
    Assignee: International Business Machines Corporation
    Inventors: Vikram Iyengar, Pamela S. Gillis, David E. Lackey, Steven F. Oakland
  • Patent number: 8171437
    Abstract: A method, system and computer program product for X-Saturated ternary simulation based reduction. An X-Saturated ternary simulation (XSTS) utility, which executes on a computer system, receives design information, where the design information includes a netlist. The XSTS utility initializes one or more data structures and/or variables and simulates, in a ternary fashion, the netlist at a time value by applying logical X values to all RANDOM gates of the netlist and to registers marked X_SATURATED. For each register of the netlist XSTS utility: determines whether or not the register departs from its expected prefix behavior, and if the register departs from its expected prefix behavior, the register is marked as X_SATURATED and the current state is updated with an X value upon the register. XSTS utility can store the current state in a data structure and can use the information from the data structure to simplify the design.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Geert Janssen, Hari Mony
  • Patent number: 8166444
    Abstract: An initial clock gating function is introduced to an original circuit design. Using abstraction-refinement, the initial clock gating function is modified such that the gated circuit design is equivalent to the original circuit design. A model checker, such as a SAT solver, may be utilized to determine equivalency of two circuit designs. A counter-example may be determined by the model checker to negate equivalency. The counter-example may be utilized to modify the initial clock gating function to determine a modified gated circuit design that is equivalent to the original circuit design.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporations
    Inventors: Eli Arbel, Cindy Eisner, Oleg Rokhlenko
  • Patent number: 8161440
    Abstract: A computer software product is provided.
    Type: Grant
    Filed: June 15, 2008
    Date of Patent: April 17, 2012
    Assignee: International Business Machines Corporation
    Inventors: Roy Emek, Itai Jaeger, Tzach Schechner
  • Patent number: 8160864
    Abstract: A synchronized boot process for an In-Circuit Emulator system. A real microcontroller is operated in lock-step synchronization with a virtual microcontroller to permit In-Circuit Emulation that allows debugging of the real microcontroller without interfering with its real time operation. The synchronized boot is accomplished by running boot code in the real microcontroller while the virtual microcontroller runs dummy code with the same timing as the boot code. Registers and memory contents are then copied from the real microcontroller to the virtual microcontroller to complete initialization and enter a state of readiness for lock-step operation.
    Type: Grant
    Filed: November 1, 2001
    Date of Patent: April 17, 2012
    Assignee: Cypress Semiconductor Corporation
    Inventors: Craig Nemecek, Steve Roe
  • Patent number: 8161438
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for example, circuit design information indicative of a first inductor and a second inductor is received. A dipole moment associated with the first inductor is determined, where the magnetic field associated with the dipole moment is representative of magnetic fields created by respective turns in the first inductor. A mutual inductance between the first inductor and the second inductor is determined by determining a magnetic flux of the magnetic field of the dipole moment through surfaces bounded by respective wire segments of the second inductor.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: April 17, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8161441
    Abstract: A method for performing robust scan synthesis for soft-error protection on a design for generating a robust scan design in a system. The system is modeled selectively at a register-transfer level (RTL) or a gate level; the design includes at least a sequential element or a scan cell for mapping to a robust scan cell of a select robust scan cell type. The method comprises performing a scan replacement and a scan stitching on the design database based on a given control information file for synthesizing the robust scan cell on the design database; and generating the synthesized robust scan design at a pre-determined RTL or a pre-determined gate level.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: April 17, 2012
    Assignee: STARDFX Technologies, Inc.
    Inventors: Laung-Terng Wang, Nur A. Touba, Zhigang Jiang, Shianling Wu, Ravi Apte
  • Patent number: 8161435
    Abstract: Methods, circuits, and systems for converting reset mechanisms in a synchronous circuit design into a corresponding asynchronous representation are described. These may operate to convert synchronous state holding blocks that include reset signals to corresponding asynchronous dataflow logic blocks. A replicated reset token at a fraction of the operational frequency of the reset signal may be distributed to the locations of the asynchronous dataflow logic blocks. Additional methods, circuits, and systems are disclosed.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: April 17, 2012
    Assignee: Achronix Semiconductor Corporation
    Inventors: Rajit Manohar, Clinton W. Kelly, Virantha Ekanayake, Gael Paul
  • Patent number: 8156458
    Abstract: Embodiments that create parent-child relationships for reuse of 1×N building blocks in a closed-loop 1×N system are disclosed. Some methods comprise generating a representation of an IC design, inserting a first 1×N building block into the representation, and creating an association between the first 1×N building block and a second 1×N building block. The association enables the first 1×N building block to inherit alterations of attributes of the second 1×N building block and enables unique alterations of attributes of the first 1×N building block which differ from the second 1×N building block. Further embodiments comprise an apparatus having an equivalency determiner to determine a logical equivalence between a two 1×N building blocks, an attribute creator that creates a set of attributes and enables one of the 1×N building blocks to inherit parent attributes and comprise child attributes.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: April 10, 2012
    Assignee: International Business Machines Corporation
    Inventors: Matthew W. Baker, Benjamin J. Bowers, Anthony Correale, Jr., Irfan Rashid, Paul M. Steinmetz
  • Patent number: 8156459
    Abstract: A method of detecting differences between high level block diagram models using text based analysis. Previous methods of determining differences between high level block diagram models derive differences through traversal of the block hierarchy which is complex and cannot compare differences between models created with third party design environments. The present invention increases interoperability and capabilities of existing circuit design environments, and achieves an advance in the art, by converting high level block diagram models to a user readable text-based format and performing a text-based differential analysis on the converted models to determine differences.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: April 10, 2012
    Assignee: Xilinx, Inc.
    Inventors: Jingzhao Ou, Chi Bun Chan
  • Patent number: 8146032
    Abstract: One embodiment of the present invention provides a system that performs an RLC extraction for a three-dimensional integrated circuit (3D-IC) die. During operation, the system receives a 3D-IC die description. The system then transforms the 3D-IC die description into a set of 2D-IC die descriptions, wherein the transform maintains equivalency between the set of 2D-IC die descriptions and the 3D-IC die description. Next, for each 2D-IC die description in the set of 2D-IC die descriptions, the system performs an electrical property extraction using a 2D-IC extraction tool to obtain a 2D-IC RLC netlist file. The system then combines the set of 2D-IC RLC netlist files for the set of 2D-IC die descriptions to form an RLC netlist file for the 3D-IC die description.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: March 27, 2012
    Assignee: Synopsys, Inc.
    Inventors: Qiushi Chen, Beifang Qiu, Charles C. Chiang, Xiaoping Hu, Mathew Koshy, Baribrata Biswas
  • Patent number: 8146034
    Abstract: A mechanism is provided for efficient redundancy identification, redundancy removal, and sequential equivalence checking with designs including memory arrays. The mechanism includes an array merging component to optimally merge an array output such that if the address is out-of-bounds or the port is not asserted, the array output is converted to a random output. The mechanism also includes a component for determining the equivalence of enabled array outputs rather than the array outputs directly and creating an enabled array output. The mechanism also includes a component that precludes potentially-redundant array cells from participating in the sequential redundancy removal determination. This component first checks for compatibility of the corresponding arrays, then the corresponding read port enables and addresses, then the corresponding initial values, and finally checking that writes to the corresponding columns yield a compatible set of values.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: March 27, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8140316
    Abstract: An apparatus for simulating digital systems is described. The apparatus includes a processor and memory in electronic communication with the processor. Instructions that are executable by the processor are stored in the memory. A simulation tool is started. The simulation tool is capable of simulating a plurality of components. A clock phase is adjusted to be turned off for at least one of the components. A digital system is simulated that includes the at least one component. The simulation does not simulate the clock phase for the at least one component.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: March 20, 2012
    Assignee: QUALCOMM, Incorporated
    Inventors: Tauseef Kazi, Haobo Yu, Lukai Cai, Mahesh Sridharan, Viraphol Chaiyakul
  • Patent number: 8141028
    Abstract: A design structure for identifying engineering changeable logic, and replacing the identified engineering changeable logic with flexible logic blocks (FLB). The design structure is embodied in a machine readable medium for designing, manufacturing, or testing an integrated circuit.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Herzl, Robert S. Horton, Kenneth A. Lauricella, David W. Milton, Clarence R. Ogilvie, Paul M. Schanely, Nitin Sharma, Tad J. Wilder, Charles B. Winn
  • Patent number: 8135484
    Abstract: A property of connection relationship information for identifying an internal/external connection of each point is registered in a part DB 1. When the part is one basic part representing a standard configuration article, an anchor ID is registered in the part DB 1 or a product DB 2. Part group extracting unit 4 extracts parts constituting a system from a design drawing generated by drawing generating unit 3 and a group of parts connected at the connection point for each basic part assigned with the anchor ID.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: March 13, 2012
    Assignee: Fujitsu Limited
    Inventors: Toshiya Yamazaki, Toshiro Okada, Takeo Nakamura
  • Patent number: 8136062
    Abstract: Embodiments that reassemble hierarchical representations in a closed-loop 1×N system are disclosed. Some embodiments comprise creating a flat netlist from a hierarchical representation of a 1×N building block, creating attributes for the flat netlist, and altering one or more elements of the flat netlist, such as by an operation of a logic design tool, a synthesis tool, a physical design tool, or a timing analysis tool. The embodiments further comprise generating a second hierarchical representation of the 1×N building block that reflects the altered element. Further embodiments comprise an apparatus having a 1×N compiler and a reassembler. The 1×N compiler may create attributes for a flat netlist of elements of a hierarchical representation of a 1×N building block. The reassembler may use the attributes to create a second hierarchical representation of the 1×N building block that reflects alteration of elements to the flat netlist.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: March 13, 2012
    Assignee: International Business Machines Corporation
    Inventors: Paul M. Steinmetz, Benjamin J. Bowers, Anthony Correale, Jr., Irfan Rashid, Matthew W. Baker
  • Patent number: 8136063
    Abstract: Methods and apparatuses to optimize a circuit representation using unfolding as a preprocessing of the multirate folding. In at least one embodiment of the present invention, a portion of a data flow graph representation of a circuit is optimized using circuit operation level before using optimizing with data flow algorithm and mapping the design onto hardware. In an aspect, the present invention discloses circuit operation level optimization for data flow graph representations with optimizing zero inputs caused by the upsamplers, or with optimizing unused outputs caused by the downsamplers. In at least one embodiment of the present invention, multirate data graph is converted to a single rate data graph before data flow optimizing. In an aspect, converting a multirate data graph to a single rate data graph comprises unfolding the multirate data graph with minimum unfolding factors that are inversely proportional to the clock values.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: March 13, 2012
    Assignee: Synopsys, Inc.
    Inventor: Mustafa Ispir
  • Patent number: 8132135
    Abstract: A system, method, computer program product for verification and equivalence checking. In one approach, the system, method, and computer program product analyzes the switching paths in a manner consistent with circuit functionality to provide a complete application which can verify the complex characteristics in the circuits to the accurate RTL model function, including FPGA, ROM Arrays, RAM circuits, and other custom integrated circuit designs.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: March 6, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Kei-Yong Khoo, Mitchell Hines, Chih-Chang Lin
  • Patent number: 8132144
    Abstract: Embodiments of the present invention provide a method and system for clock-gating a circuit. During operation, the system receives a circuit which includes a plurality of clocked memory elements. Next, the system identifies a feedback path from an output of a clocked memory element to an input of the clocked memory element, wherein the feedback path passes through intervening combinational logic, but does not pass through other clocked memory elements in the circuit. Then, the system gates a clock signal to the clocked memory element so that the clock signal is disabled when the feedback path causes a value which appears at the output of the clocked memory element to be appear at the input of the clocked memory element.
    Type: Grant
    Filed: June 17, 2009
    Date of Patent: March 6, 2012
    Assignee: Oracle America, Inc.
    Inventors: Krishnan Sundaresan, Aravind Oommen
  • Publication number: 20120054703
    Abstract: Configuration templates reflect configuration information described in hierarchical circuit design data. The object configure information will include both template generic configuration information and instance specific configuration information. The template generic configuration information is configuration information that is common to all instantiations of a corresponding cell in the hierarchical circuit design data. The instance specific configuration information is then configuration information that is particular to one or more specific instantiations of the corresponding cell in the hierarchical circuit design data. After the object configuration templates have been generated, a configuration information analysis unit uses the object configuration information contained in the object configuration templates to identify objects having configuration data that match defined configuration criteria.
    Type: Application
    Filed: August 25, 2010
    Publication date: March 1, 2012
    Inventors: G. Fedor Pikus, Ziyang Lu, Phillip A. Brooks
  • Patent number: 8127261
    Abstract: Computer-implemented techniques are disclosed for defining an environment for formal verification of a design-under-test. Initially there is extraction of design inputs by a design analysis module, and presentation of the inputs on a graphical user interface. Behavior options for the design inputs are offered on the graphical user interface for selection by an operator. Environment code that is descriptive of the design inputs and selected behavior options is emitted, typically in a hardware description language, for submission to a formal verification tool. A meta-code file containing the assigned behavior options is generated to aid subsequent sessions.
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: February 28, 2012
    Assignee: International Business Machines Corporation
    Inventors: Gadiel Auerbach, Matan Gal, Ziv Nevo
  • Patent number: 8122402
    Abstract: To provide a checking method that utilizes a test bench for a circuit model, which will serve as a fundamental for equivalence checking of a circuit to be newly developed for the fundamental circuit model. In order to check the equivalence of a model to be verified using a sample model a circuit of which has been described in a predetermined language and a test vector generation model for the sample model, a process for writing an output from the sample model test vector generation model into an input FIFO group for each signal of the sample model with the same timing as that of the sample model while the sample model is inputting/outputting a signal from/to the sample model test vector generation model with cycle accuracy and a process for reading data from the input FIFO group with the same operation timing as that of the model to be verified and outputting the data to the model to be verified are carried out.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: February 21, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tadaaki Tanimoto
  • Patent number: 8122400
    Abstract: A computer executed method is disclosed which accepts an original circuit with an original logic, accepts a modified circuit, and synthesizes a difference circuit. The difference circuit represents changes that implement the modified circuit's logic for the original circuit. The synthesis may locate an output-side boundary in the original logic in such a manner that the original logic is free of logic changes in between the output-side boundary and the primary output elements of the original circuit. The disclosed synthesis may also locate an input-side boundary in the original logic in such a manner that the original logic is free of logic changes in between the input-side boundary and the primary input elements of the original circuit. A computer program products are also disclosed. The computer program product contains a computer useable medium having a computer readable program code embodied therein.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jeremy T. Hopkins, John M. Isakson, Joachim Keinert, Smita Krishnaswamy, Nilesh A. Modi, Ruchir Puri, Haoxing Ren, David L. Rude
  • Patent number: 8122401
    Abstract: A system, method and computer program product are provided for determining equivalence of netlists utilizing at least one transformation. In use, a netlist including a plurality of infinite portions and a plurality of finite portions is identified. Additionally, at least some of the finite portions are transformed, utilizing at least one predetermined transformation. Further, an equivalence of the netlist and another netlist is determined, utilizing at least a subset of the finite portions and the infinite portions. Moreover, the transformation identifies a word-level functionality of the at least some of the finite portions by converting bit-level functionality into word-level functionality.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: February 21, 2012
    Assignee: Calypto Design Systems, Inc.
    Inventors: Pankaj P. Chauhan, Deepak Goyal, Anmol Mathur
  • Patent number: 8122410
    Abstract: In accordance with an aspect of the present invention, specifying a portion of a circuit design to be treated as untimed by static timing analysis is performed on the RTL design by means of an attribute annotation. The process is operable to map through to the Physical Design by correlating latches and chip-level nets. This allows the testing process to become closed-loop. Design and simulation time is also greatly reduced due to the accessibility of RTL design.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jack DiLullo, Ronald Nick Kalla, Gavin Balfour Meil, Jeffrey Mark Ritzinger
  • Patent number: 8122403
    Abstract: Methods and systems are provided for producing more efficient digital circuitry designs by identifying trace-containment for a sequential circuitry design netlist through the use of constraint-based uncorrelated equivalence checking. A set of candidate input netlist sets n1 and n2 is first uncorrelated and then submitted for equivalence checking. Mismatches discovered during the equivalence checking are avoided by imposing constraint to the input set until discovering an equivalency relationship between the input sets n1 and n2.
    Type: Grant
    Filed: April 16, 2009
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Robert L. Kanzelman, Hari Mony, Viresh Paruthi
  • Patent number: 8117577
    Abstract: A computer-implemented method of identifying timing paths of a circuit block can include representing a circuit block including at least one bypassable component as a block diagram having a plurality of elements linked by nodes. The method can include generating a map file including a text description of each element within the block diagram, wherein the text description of each element specifies a bypass indicator for the element. The method also can include generating a plurality of sub-paths from the map file, determining timing paths from the plurality of sub-paths by selectively combining different ones of the plurality of sub-paths according to commonality of starting points and ending points of the plurality of sub-paths, and outputting the timing paths.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: February 14, 2012
    Assignee: Xilinx, Inc.
    Inventors: Vasisht M. Vadi, Alvin Y. Ching, Subodh Kumar, Richard D. Freeman, Ian L. McEwen, Philip R. Haratsaris, Jaime D. Lujan, Eric M. Schwarz
  • Patent number: 8117573
    Abstract: Hardware blocks respectively of an arbitrary access origin and an arbitrary access destination that are mutually accessible are extracted from among a plurality of hardware blocks constituting a bus system to be verified, and a path reaching from the access-origin hardware block to the access-destination hardware block is searched for. For each path found, a verification scenario is generated to verify transactions of the access-origin hardware block for a case where access to an address range assigned to the access-destination hardware block occurs, and the verification scenario is output being correlated with the path that corresponds thereto.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: February 14, 2012
    Assignee: Fujitsu Limited
    Inventor: Rafael Kazumiti Morizawa
  • Patent number: 8117584
    Abstract: Disclosed is a method of implementing controlled equivalent series resistance (ESR) having low equivalent series inductance (ESL) of a multi-layer chip capacitor which includes a plurality of internal electrodes each having first polarity or second polarity which is opposite to the first polarity, and dielectric layers each disposed between the internal electrodes of the first polarity and the second polarity, wherein the internal electrodes having the first polarity and the internal electrodes having the second polarity are alternated at least once to form one or more blocks being stacked.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: February 14, 2012
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Byoung Hwa Lee, Sung Kwon Wi, Hae Suk Chung, Dong Seok Park, Sang Soo Park, Min Cheol Park
  • Patent number: 8117576
    Abstract: A computer-implemented method of performing an equivalence check on a mixed-signal circuit is performed on a server system, and includes responding to a verification request. In the method, the following operations are performed. A static analysis is performed on a first netlist, and a synthesizable section and non-synthesizable section of the first netlist are identified. A functional equivalence is determined between the non-synthesizable section of the first netlist and a corresponding non-synthesizable section of a second netlist, and a logical equivalence is determined between the synthesizable section of the first netlist and a corresponding synthesizable section of a second netlist. An equivalence result is provided based on the determined functional equivalence and the determined logical equivalence.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: February 14, 2012
    Assignee: Rambus Inc.
    Inventors: Kathryn M. Mossawir, Kevin D. Jones
  • Patent number: 8112732
    Abstract: A system and computer program product for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haoxing Ren, Paul Gerard Villarubia
  • Patent number: 8112730
    Abstract: A structural primitive verification tool for memory compilers is described. A first set of memory structural primitives are supplied by a designer by filling in fields of a presented user interface. The first set of structural primitives describe certain physical layout features of a proposed memory array in an integrated circuit. A first model of a memory instance derived from the first set of memory structural primitives supplied by the designer is compared to a second model of a memory instance derived from a memory layout file from a memory compiler under-test. The first model is verified against the second model to verify to an integrity of the first set of memory structural primitives supplied by the designer compared to the memory layout file derived from a second set of memory structural primitives configuring that memory instance from the memory compiler.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: February 7, 2012
    Assignee: Synopsys, Inc.
    Inventors: Karen Aleksanyan, Karen Amirkhanyan, Sergey Karapetyan, Alexander Shubat, Samvel Shoukourian, Valery Vardanian, Yervant Zorian
  • Patent number: 8108811
    Abstract: An electrical resistance determination method. Input to the method includes a description of at least one electrical network within a substrate. The description includes specification of a plurality of first ports on a first side of the substrate, and a plurality of second ports on a second side of the substrate, for each electrical network. All of the first ports are electrically isolated from one another. All of the second ports are electrically connected to a common voltage. A computer readable program code, which is executed by a processor of a computer system computes for a first electrical network of the at least one electrical network an electrical resistance between each first port and a port of the second ports. The computer code may also display a perspective plot of the computed electrical resistances as a bar oriented about normal to each first port.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy W. Budell, Patrick H. Buffett, Craig P. Lussier
  • Patent number: 8099697
    Abstract: A computer-readable recording medium stores therein a verification support program that causes a computer to execute receiving a hardware description of a combinational circuit to be verified; extracting, from the hardware description, a conditional branch description expressing conditional branch processing; identifying, from among conditional branch descriptions extracted at the extracting of a conditional branch description and based on a description sequence in the hardware description, a combination of conditional branch descriptions having a hierarchical relation; extracting, from among combinations of conditional branch descriptions identified at the identifying, a combination having a potential to satisfy a specified condition; creating a simulation program that causes the specified condition for the conditional branch descriptions included in the combination extracted at the extracting of the combination to be satisfied; and outputting, as assertion information of the combinational circuit, the simul
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: January 17, 2012
    Assignee: Fujitsu Limited
    Inventors: Akio Matsuda, Ryosuke Oishi
  • Patent number: 8099703
    Abstract: Embodiments of the present invention provide methods and systems for verifying functional equivalence of a power optimized design and its original, unoptimized design (referred to as the golden design) using combinational equivalency checking. Due to some inherent limitations which make combinational equivalency checkers unable to prove equivalency of the two designs in a single step, a series of intermediate design transformations is introduced. These transformations are dependent on the techniques used in generating the power optimized design from the golden design, and may be generically described in a transformation language that provides the necessary constructs to specify an entire set of valid structural modifications.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: January 17, 2012
    Assignee: Xilinx, Inc.
    Inventors: Chaiyasit Manovit, Sridhar Narayanan, Sridhar Subramanian
  • Patent number: 8091059
    Abstract: A method for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: January 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haxoing Ren, Paul Gerard Villarubia
  • Patent number: 8091055
    Abstract: Some embodiments provide a system for managing violations during physical verification. The system may identify a design-rule-check (DRC) violation by applying a set of DRC rules to a layout. The system can then receive an error classification from the user which specifies how the DRC violation is to be handled. Next, the system can store the DRC violation, the user-selected error classification, and a user identifier associated with the user in a database. If the user is not authorized to approve the error classification, the database can indicate that the error classification has not been approved. Later, a user who is authorized to approve the error classification can approve the error classification. The system can determine if a cell is known, and if so, the system can use the violations and error classifications stored in the database to speed up the verification process.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: January 3, 2012
    Assignee: Synopsys, Inc.
    Inventors: Kevin Brelsford, Keith Rast, William Christopher Dunn, Jason Richard Puryear
  • Patent number: 8091049
    Abstract: One embodiment of the present invention provides systems and techniques for generating a transistor-level description of a subcircuit. A user may want to simulate a subcircuit in a circuit using a transistor-level simulator, and one or more cells in the subcircuit may need to be sensitized so that the cells are in a desired state when the subcircuit is simulated. An embodiment modifies the subcircuit by inserting analog switches in front of the cells that need to be sensitized, so that the analog switches can be used to apply a sensitization sequence to the cells during the transistor-level simulation. The embodiment can then generate a transistor-level description of the modified subcircuit. Next, the transistor-level description of the subcircuit can be stored, thereby enabling the transistor-level simulator to simulate the subcircuit.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: January 3, 2012
    Assignee: Synopsys, Inc.
    Inventors: Jindrich Zejda, Narender Hanchate, Rupesh Nayak, Li Ding
  • Patent number: 8090565
    Abstract: In one embodiment, a system model models characteristics of a real-world system. The system model includes a plurality of sub-portions that each correspond to a component of the real-world system. A plurality of test vectors are applied to the system model and coverage achieved by the test vectors on the sub-portions of the system model is measured. In response to a failure of the real world system, a suspected failed component of the real-world system is matched to a particular sub-portion of the system model. A test vector to be applied to the real-world system to test the suspected failed component is selected in response to coverage achieved on the particular sub-portion of the system model.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: January 3, 2012
    Assignee: The MathWorks, Inc.
    Inventor: Thomas Gaudette
  • Patent number: 8091051
    Abstract: Disclosed is a behavioral synthesis apparatus for generating a test bench where the same test vector can be used in both the behavioral simulation and the RTL simulation. The apparatus includes input application/output signal observation timing signal generation means that generates an input application timing signal, an output observation timing signal, and logic circuits for the input application timing signal and the output observation timing signal; and test bench generation means that generates a test bench that observes the signals, applies inputs, and observes outputs.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: January 3, 2012
    Assignee: NEC Corporation
    Inventors: Takashi Takenaka, Akira Mukaiyama, Kazutoshi Wakabayashi
  • Publication number: 20110307849
    Abstract: A logical description aiding apparatus identifies a positional relation between a position of an uncorrected description part of an after-correction logical description and a position of a corresponding description part of a before-correction logical description. After that, the logical description aiding apparatus identifies, using the identified positional relation, a position where before-correction check results are accordant with after-correction check results and conducts message replacement so that the format of a message of a before-correction check result located on the identified position is changed to the same format as the format of messages of the after-correction check results. The logical description aiding apparatus then extracts differences between the format-changed before-correction check results and the after-correction check results.
    Type: Application
    Filed: March 29, 2011
    Publication date: December 15, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Hiroji TAKEYAMA, Kazuhiro MATSUZAKI, Shuichiro YAMADA
  • Patent number: 8079003
    Abstract: In a verification support apparatus, an implementation description of a verification target is acquired and based on the implementation description, a combination of input gates is identified. A pair of output cones including gates to which input signals from the input gates reach, and a common output cone including gates common to the pair of output cones, are detected. Based on the common output cone, a degree of relation between the input gates is calculated and according to the calculation, the strength of relation is determined for the combination of input gates. The strength of relation for a combination of the input gates is set, the combination being based on a specification of the verification target and corresponding to the combination identified from the implementation description. Whether the strength of relation set and that determined for the identified combination coincide is judged and a result of the judgment is output.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: December 13, 2011
    Assignee: Fujitsu Limited
    Inventor: Koichiro Takayama
  • Patent number: 8079000
    Abstract: An equivalency testing system, for formally comparing an RTLM and HLM, is presented. RTLM and HLM are first converted into DFGs RTLMDFG and HLMDFG. RTLMDFG and HLMDFG are then put into timestep form and are called RTLMts and HLMts. A test bench CSts is selected that couples RTLMts and HLMts. The combination of RTLMts[t], HLMts[t] and CSts[t] can have parts designated as datapath. Parts designated as datapath can be subject to a form of equivalence checking that seeks to prove equivalence by a form of inductive theorem proving that propagates symbolic values indicative of whether a node carries the same data content as another node. The theorem proving starts from initial conditions for HLMts[t] determined by partial execution of the HLM. Propagation to a combinational function output can be determined from equivalence relationships between it and another combinational function. Propagation through a multiplexer can produce a conditional symbolic value.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: December 13, 2011
    Assignee: Synopsys, Inc.
    Inventors: Alfred Koelbl, Carl Preston Pixley
  • Patent number: 8074192
    Abstract: The circuit volume of a system under design is reduced by a circuit conversion involving consolidation (sharing) of common parts in the system by a representative part. The design data of the system post-conversion is used to verify operation of the system. However, the verification results for the system post-conversion express signals (e.g., signal X) of plural modules (e.g., modules a to c) as one signal waveform thereby making debugging difficult when a bug is found. Given this situation, from the verification results of the system post-conversion, signal-generation-use data is generated for generating the signal waveforms (here, respective signal waveforms for the modules a to c) of the system before conversion. After verification is complete, a signal waveform for each of the modules a to c is generated using the verification results for the system under design and the signal-generation-use data.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: December 6, 2011
    Assignee: Fujitsu Limited
    Inventor: Yuzi Kanazawa
  • Patent number: 8074194
    Abstract: Ordinary minterm counting (OMC) and weighted minterm counting (WMC) are applied as combinational design discriminators to a pair of combinational designs D1 and D2. OMC assigns the same weight to each minterm, while WMC assigns a weight that can vary. For application of minterm counting, D1 and D2 can be converted into BDDs. The size of the BDDs can be reduced by assigning binary values to some of the input variables. If the minterm counts of D1 and are within a certain tolerance, then D1 and D2 cannot be distinguished. Even if D1 and D2 are determined to represent different functionalities, they may still be sufficiently related such that classification of them as differing functionalities is inappropriate. Each such test of relatedness, between a pair of designs D1 and D2, can be referred to as an “exception.” Minterm counting can also be applied when don't cares are part of a design specification. Exceptions can apply to such minterm counts.
    Type: Grant
    Filed: July 21, 2009
    Date of Patent: December 6, 2011
    Assignee: Synopsys, Inc.
    Inventor: In-Ho Moon
  • Patent number: 8065634
    Abstract: A method for validating a nanotube logic network. The nanotube logic network is separated into regions based on a conductivity of the respective region. Potential paths through adjoining regions of the nanotube logic network are determined. Boolean path functions for each potential path are determined. If the Boolean path functions of the potential paths are equivalent to the intended logic function, then the nanotube logic network is immune to misaligned carbon nanotubes.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: November 22, 2011
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Nishant Patil, Subhasish Mitra
  • Patent number: 8065646
    Abstract: A method for analyzing an design of an integrated circuit, the method includes defining possible timings of signals to be provided to the integrated circuit and calculating hold violations; characterized by including a stage of determining relationships between clock events and corresponding data/control events that ideally precede the clock events, in response to the possible timing of signals; and determining hold parameters in response to the relationships. A computer readable medium having stored thereon a set of instructions, the set of instructions, when executed by a processor, cause the processor to define at least one internal delay of a designed component, characterized by causing the processor to define a cell that is characterized by multiple hold times and multiple setup values for a certain clock skew value.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: November 22, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Michael Priel, Dan Kuzmin, Anton Rozen, Eitan Zmora