Equivalence Checking Patents (Class 716/107)
  • Patent number: 8595679
    Abstract: Disclosed herein are methods and devices used for the physical design validation of integrated circuits. One method used for the physical design validation of integrated circuits includes comparing the original circuit netlist of an integrated circuit and the layout data of the integrated circuit and assigning labels to the input and output terminals of the components in the integrated circuit based on the results of the comparison.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: November 26, 2013
    Assignee: Synopsys, Inc.
    Inventor: Chiu-Yu Ku
  • Patent number: 8589835
    Abstract: A system and methods are disclosed for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs. In particular, rule-based interface matching is automatically performed by analyzing actual port names on instances of functional elements and blocks to form signal groupings that comprise a higher-level abstracted description. An example syntax is provided for defining rules that are used to define how various analysis are performed. Data describing standard interfaces on common Intellectual Property (IP) blocks is optionally made available to facilitate interface matching. Also, a facility is included to allow user-guided mapping on instantiated interfaces with respect to actual port names in an RTL-level design.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: November 19, 2013
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8589841
    Abstract: A method, apparatus and computer program product for automatic parity check identification. The method comprising: automatically identifying a parity signal in a circuit design, wherein the parity signal is defined as a parity function of a set of support signals, wherein the automatic identification comprises: obtaining a candidate parity signal and a corresponding set of candidate support signals; and verifying that a bit flip in exactly one of any of the corresponding candidate set of support signals induces a bit flip on a value of the candidate parity signal; wherein said method further comprises reporting the automatically identified parity signal.
    Type: Grant
    Filed: April 5, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Eli Arbel, Sergey Novimov, Karen Yorav
  • Publication number: 20130305197
    Abstract: A computer-implemented method includes a processor identifying, within the netlist, at least one strongly connected components (SCCs) that has a reconvergent fanin input with at least two input paths from the reconvergent fanin input having a different propagation delay to the SCC. The method then computes an additive diameter for the netlist comprising at least one SCC, where the additive diameter includes a fanin additive diameter determined based on a propagation delay difference of the at least two input paths to a SCC and a number of complex feed-forward components within at least one input path. In response to the reconvergent fanin input to the SCC providing a binate function, the method computes a multiplicative diameter for the SCC utilizing a least common multiple (LCM) derived from one or more propagation delay differences across each reconvergent fanin input leading to the SCC.
    Type: Application
    Filed: May 9, 2012
    Publication date: November 14, 2013
    Applicant: IBM CORPORATION
    Inventors: Jason R. Baumgartner, Robert L. Kanzelman, Hari Mony
  • Publication number: 20130305200
    Abstract: A method and system to automate scan synthesis at register-transfer level (RTL). The method and system will produce scan HDL code modeled at RTL for an integrated circuit modeled at RTL. The method and system comprise computer-implemented steps of performing RTL testability analysis, clock-domain minimization, scan selection, test point selection, scan repair and test point insertion, scan replacement and scan stitching, scan extraction, interactive scan debug, interactive scan repair, and flush/random test bench generation. In addition, the present invention further comprises a method and system for hierarchical scan synthesis by performing scan synthesis module-by-module and then stitching these scanned modules together at top-level. The present invention further comprises integrating and verifying the scan HDL code with other design-for-test (DFT) HDL code, including boundary-scan and logic BIST (built-in self-test).
    Type: Application
    Filed: March 18, 2013
    Publication date: November 14, 2013
    Inventors: Laung-Terng WANG, Xiaoqing WEN
  • Patent number: 8584062
    Abstract: A novel set of reconfiguration tools combine the RTL (Register Transfer Language) construct detection of synthesis compilers with a more advanced implementation of expansion syntax. HDL (Hardware Description Language) coding constructs are automatically detected and recoded and/or modified, for both behavioral and structural HDL code. Configuration file(s) may be used to define the transformations, and transformation commands within the configuration file(s) may define where and how to apply RTL changes. The tool may automatically identify sections of code as the file is parsed (e.g. like a compiler). The transformations are written out in RTL, and the resulting reconfigured RTL file(s) may be processed by a synthesis tool, or may be simulated using a suitable simulator. This allows for automated detection and configurable modification of HDL-coding constructs. Design changes may therefore be verified earlier in the design process, since changes are embedded in RTL, instead of being embedded in the netlist.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: November 12, 2013
    Assignee: Apple Inc.
    Inventors: Liang Xia, Mario A. Maldonado, Jr.
  • Patent number: 8584064
    Abstract: A non-transitory, recording medium stores therein a program that causes a computer to execute extracting from hardware description of a circuit, a conditional branch statement representing a conditional branch process; determining whether the extracted conditional branch statement includes at least three condition expressions, where a given combination thereof has exclusive satisfying conditions; extracting from the conditional branch statement determined at the determining, a combination of condition expressions for which satisfying conditions are exclusive; extracting each condition expression from the extracted combination and creating, for each extracted condition expression and according to an order of appearance in the hardware description, a conditional branch statement in which the extracted condition expression has a hierarchical relationship with a condition expression not included in the combination; generating an assertion for checking whether a specified condition is satisfied in each created con
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: November 12, 2013
    Assignee: Fujitsu Limited
    Inventor: Akio Matsuda
  • Patent number: 8578311
    Abstract: A computer-implemented method includes a processor identifying, within the netlist, at least one strongly connected components (SCCs) that has a reconvergent fanin input with at least two input paths from the reconvergent fanin input having a different propagation delay to the SCC. The method then computes an additive diameter for the netlist comprising at least one SCC, where the additive diameter includes a fanin additive diameter determined based on a propagation delay difference of the at least two input paths to a SCC and a number of complex feed-forward components within at least one input path. In response to the reconvergent fanin input to the SCC providing a binate function, the method computes a multiplicative diameter for the SCC utilizing a least common multiple (LCM) derived from one or more propagation delay differences across each reconvergent fanin input leading to the SCC.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: November 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8572532
    Abstract: A method of timing analysis of an integrated circuit (IC) design with a partition block including an original clock signal with a pair of clock paths having an external common point outside the block boundary is disclosed, including receiving a netlist of the partition block of a hierarchical IC design, analyzing a pair of clock paths having the external common point to determine first and second clock ports at the boundary of the partition block; and for the first and second clock ports, creating launch and capture clocks, making exclusive clock groups of the launch clock and the capture clock for opposing clock ports to avoid the launch and capture clocks for each port affecting other internal data paths within the partition block, and associating common path pessimism removal information with a source latency of the capture clock to adjust timing at an end point of the internal data path.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: October 29, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sushobhit Singh, Amit Kumar, Oleg Levitsky, Akash Khandelwal
  • Patent number: 8572544
    Abstract: Systems and methods are disclosed to automatically method to manage power in a custom integrated circuit (IC) design with a code profile by receiving an instruction execution sequence based on the code profile and reassigning or delaying the instruction sequence to spread operations or activities over a plurality of processing blocks to reduce hot spots; applying sub-region weight distributions to estimate power hot-spot locations; and synthesizing the generated architecture into a computer readable description of the custom integrated circuit for semiconductor fabrication.
    Type: Grant
    Filed: April 22, 2012
    Date of Patent: October 29, 2013
    Assignee: Algotochip Corp.
    Inventors: Ananth Durbha, Pius Ng, Gary Oblock, Suresh Kadiyala, Satish Padmanabhan
  • Patent number: 8560984
    Abstract: In one embodiment of the invention, a physical layout wire-load algorithm is used to generate a wire-load model based on physical data including aspect ratio and wire definitions defined in a physical library. The physical layout estimator is utilized to dynamically produce the physical layout wire-load model and to calculate net length and delay for each optimization iteration.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: October 15, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hurley Song, Denis Baylor, Matthew Robert Rardon
  • Publication number: 20130268906
    Abstract: A method, apparatus and computer program product for automatic parity check identification. The method comprising: automatically identifying a parity signal in a circuit design, wherein the parity signal is defined as a parity function of a set of support signals, wherein the automatic identification comprises: obtaining a candidate parity signal and a corresponding set of candidate support signals; and verifying that a bit flip in exactly one of any of the corresponding candidate set of support signals induces a bit flip on a value of the candidate parity signal; wherein said method further comprises reporting the automatically identified parity signal.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 10, 2013
    Applicant: International Business Machines Corporation
    Inventors: Eli Arbel, Sergey Novikov, Karen Yorav
  • Patent number: 8555219
    Abstract: One method implementation disclosed includes detecting matching leaf cells that are functionally identical (optionally, functionally similar) and assigning matching names for the matching leaf cells to replace original, non-matching names. Optionally, digests can be calculated for the leaf cells and used to detect similarities and/or differences. The matching names are propagated to at least some higher-level cells in the hierarchical design, in place of the original names. The method can further include calculating digests for at least some of the higher level cells after the propagating of the matching names into the higher level cells. Various design matching technologies can be used in combination with cell renaming and new name propagation, not limited to use of digests. Dependency chains can be calculated to improve propagation of names through the hierarchy.
    Type: Grant
    Filed: June 8, 2012
    Date of Patent: October 8, 2013
    Assignee: Oasis Tooling, Inc.
    Inventor: David Chapman
  • Patent number: 8554522
    Abstract: Augmented-domain simulation, such as ternary-based simulation may be utilized to approximate a reachability analysis of a model being model checked. The approximated reachability analysis may be utilized to detect design redundancies and modify the model to remove such redundancies. Design redundancies may include unobservable variables, mergeable variables and utilization of surplus domains.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Shaked Flur, Ziv Nevo
  • Publication number: 20130263072
    Abstract: According to an aspect of an embodiment, a method of designing an analog circuit may include selecting multiple analog components for a circuit. The method may also include ordering the analog components. The method may also include determining at least one pareto-optimal design point for a parameter of each analog component. The pareto-optimal design point for each analog component may be based on a performance metric, the parameter for the respective analog component, and constraints resulting from pareto-optimal design points for analog components ahead of the respective analog component within the ordering of the analog components.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 3, 2013
    Applicant: FUJITSU LIMITED
    Inventors: Subodh M. REDDY, Toshiyuki SHIBUYA
  • Patent number: 8549464
    Abstract: A reusable expression graph system and method that generates reusable expression graphs that can be used with potentially different input parameters in order to achieve computational efficiency and ease of programming. Reusable expression graph mitigate the need to rebuild an expression for each new value. This is achieved in part by creating a node called a “parameter node.” The parameter node acts as a generic placeholder for a leaf node in the expression graph. In addition, the parameter node acts as a proxy for a bindable term of the leaf node, and the bindable term can be either a value or one or more additional expressions. The parameter node then is bound to the bindable term and the expression is evaluated with that bindable term instead of the placeholder. The parameter node created by embodiments of the reusable expression graph system and method works across many different programming languages.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: October 1, 2013
    Assignee: Microsoft Corporation
    Inventors: Barry Clayton Bond, Vivian Sewelson, Daniel Johannes Pieter Leijin, Lubomir Boyanov Litchev
  • Patent number: 8543953
    Abstract: A method is contemplated in which the stimulus to an IC design simulation may be automatically manipulated or steered so that the test environment is altered during subsequent simulations of the IC design based upon the simulation results and/or configuration settings of previous simulations of the IC design. More particularly, a stimulation steering tool may analyze the simulation results and/or the test environment, and manipulate the test environment, which may include the test generator output, and the test bench model, for subsequent simulations.
    Type: Grant
    Filed: January 4, 2012
    Date of Patent: September 24, 2013
    Assignee: Apple Inc.
    Inventor: Fritz A. Boehm
  • Publication number: 20130246988
    Abstract: A system, method, and computer program product are provided for hierarchical formal hardware verification of floating-point division and/or square root algorithmic designs using automatic sequential equivalence checking. In use, for at least one of a floating-point division algorithm and a square root algorithm, an architectural specification for hardware, a hardware implementation on the hardware, and at least one intermediate model having a level of specificity between the architectural specification and the hardware implementation are identified. Additionally, an equivalence is automatically determined, hierarchically, between the architectural specification, and the at least one intermediate model, and between the at least one intermediate model and the hardware implementation. Furthermore, for the hardware, the at least one of the floating-point division algorithm and the square root algorithm are formally verified, based on the automatic sequential equivalence determination.
    Type: Application
    Filed: March 16, 2012
    Publication date: September 19, 2013
    Applicant: NVIDIA Corporation
    Inventors: Behzad Akbarpour, Prosenjit Chatterjee
  • Patent number: 8539426
    Abstract: A method of forming a compact model for an electrical device includes obtaining shape information for the device and obtaining nominal information for the device. The method also includes merging the shape information and the nominal information to form composite data, and fitting the compact model to the composite data.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Paul A. Hyde, Rainer Thoma, Josef S. Watts
  • Patent number: 8539406
    Abstract: Techniques and technology for formally verifying a first electronic design with a second electronic design that has been synthesized from the first electronic design, wherein the synthesis process included structural transformation operations, is provide herein. In various implementations, a first design and a second design are received. The second design having been synthesized from the first design, where no structural transformation operations were performed during synthesis of the second design. Additionally, a third design and a structural transformation guidance file are received. The third design having also been synthesized from the first design, but, where structural transformation operations were performed during synthesis of the third design. The structural transformation guidance file specifies what transformations where made during synthesis.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: September 17, 2013
    Inventors: Michael Mahar, Pradish Mathews, James Henson, Anant-Kumar Jain
  • Patent number: 8527928
    Abstract: A computer-readable medium stores a specification for a circuit layout. The specification includes: a configuration of rooms for placing devices, one or more room constraints for the configuration of rooms, one or more groups of devices for the rooms, and one or more device constraints for devices in a same room. The configuration of rooms may include a tree-structure for the rooms. The room constraints may include a common symmetry line for a first room and a second room. The device constraints may include a self-symmetry constraint for a first device about a symmetry line in a first room. The device constraints may include a symmetry constraint for a first device and a second device about a symmetry line in a first room. The devices may include analog or RF (radio frequency) devices.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: September 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Prakash Gopalakrishnan, Alisa Yurovsky
  • Patent number: 8527924
    Abstract: A method and apparatus are provided for use in synthesis of RTL integrated circuit design to determine the functional equivalence of designs. For example, the receiver receives a plurality of designs for synthesis in RTL and a data flow graph is derived for each design. Internal bit widths in the data flow graph representations are restricted (52) to provide a first modified version of each of the designs. These first modified versions are compared each with the design from which it was derived in a comparison unit (54). The input bit widths of the data flow graph representation are then restricted to be no wider than the output bit widths (56) to derive second modified versions of the designs (58). These second modified versions are compared with each other (60) to determine which are equivalent. Equivalent designs can be passed to an RTL synthesis unit 62, or otherwise further evaluated.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: September 3, 2013
    Assignee: Imagination Technologies, Ltd.
    Inventors: Theo Alan Drane, Freddie Rupert Exall
  • Patent number: 8527923
    Abstract: A system, method, and computer program product are provided for hierarchical formal hardware verification of floating-point division and/or square root algorithmic designs using automatic sequential equivalence checking. In use, for at least one of a floating-point division algorithm and a square root algorithm, an architectural specification for hardware, a hardware implementation on the hardware, and at least one intermediate model having a level of specificity between the architectural specification and the hardware implementation are identified. Additionally, an equivalence is automatically determined, hierarchically, between the architectural specification, and the at least one intermediate model, and between the at least one intermediate model and the hardware implementation. Furthermore, for the hardware, the at least one of the floating-point division algorithm and the square root algorithm are formally verified, based on the automatic sequential equivalence determination.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: September 3, 2013
    Assignee: NVIDIA Corporation
    Inventors: Behzad Akbarpour, Prosenjit Chatterjee
  • Publication number: 20130227505
    Abstract: Specific characteristics of a branch structure between a behavioral description and a hardware description, a structural dependence relation therebetween, and the like are extracted and used to shorten the time of processing for equivalence checking, thereby contributing to the shortening of a processing time required for equivalence checking for a high-level description and a behavioral synthesis result. Upon checking of the equivalence of a high-level description and a synthesis result obtained by performing a behavior synthesis on the high-level description according to a behavioral synthesis restriction, correspondence information between flip-flops with a feedback loop in the synthesis result and variables associated therewith with a backward data dependence relation in a high-level description is generated and used.
    Type: Application
    Filed: February 19, 2013
    Publication date: August 29, 2013
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: RENESAS ELECTRONICS CORPORATION
  • Patent number: 8522176
    Abstract: A computer-implemented method to debug testbench code of a testbench associated with a circuit design by recording a trace of call frames along with activities of the circuit design. By correlating and displaying the recorded trace of call frames, the method enables users to easily trace an execution history of subroutines executed by the testbench thereby to debug the testbench code. In addition, users can trace source code of the testbench code by using the recorded trace of call frames. Furthermore, users can debug the testbench code utilizing a virtual simulation, which is done by post-processing records of the virtual simulation stored in a database.
    Type: Grant
    Filed: May 8, 2011
    Date of Patent: August 27, 2013
    Assignee: Synopsys, Inc.
    Inventors: Chia-Ling Ho, Jian-Cheng Lin, Jencheng Wang
  • Patent number: 8516414
    Abstract: A behavioral synthesis device include a profile unit that implements an electronic circuit at a reconfigurable hardware based on a first register transfer level description generated by a behavioral synthesis unit, actuates the implemented electronic circuit, and causes the electric circuit to output profile information from the actuated electronic circuit; and an optimizer that generates optimization information for optimizing a behavioral synthesis carried out by the behavioral synthesis unit based on the profile information that the profile unit causes the electric circuit to output, and outputs the generated optimization information to the behavioral synthesis unit, wherein the behavioral synthesis unit acquires a first behavioral level description, and subjects the acquired first behavioral level description to behavioral synthesis and generates the second register transfer level description based on the optimization information outputted by the optimizer.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: August 20, 2013
    Assignee: NEC Corporation
    Inventors: Yoshinosuke Kato, Takao Toi, Noritsugu Nakamura, Toru Awashima, Hirokazu Kami
  • Patent number: 8516356
    Abstract: Processors, microprocessors and logical block systems and methods, error detection systems and methods, and integrated circuits are disclosed. In an embodiment, a logic-based computing system includes a first processing core; a second processing core generated from the first processing core and including an inverted logical equivalent of the first processing core such that an output of the second processing core is a complement of an output of the first processing core; and comparator logic coupled to receive the outputs of the first and second processing cores as inputs and provide an error output if the output of the second processing core is not the complement of the output of the first processing core.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: August 20, 2013
    Assignee: Infineon Technologies AG
    Inventors: Simon Brewerton, Neil Hastie
  • Patent number: 8516419
    Abstract: According to one embodiment, a verification device of semiconductor integrated circuit includes an assertion based verification unit, a logic generating unit, a signal restriction generating unit, and an estimation unit. The assertion based verification unit performs assertion based verification of the circuit description based on the assertion description, and generates pass information when the operation of the signal described in the assertion description conforming to a preliminary condition is observed in the circuit description, or generates failure information when the operation of the signal is not observed in the circuit description. The logic generating unit extracts a signal corresponding to the failure information from the assertion description, and generates an input/output logic of the circuit description from the extracted signal. The signal restriction generating unit generates a signal restriction based on the input/output logic.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: August 20, 2013
    Inventor: Takehiko Tsuchiya
  • Publication number: 20130212545
    Abstract: Scan blocks with scan chains are used to partition and test semiconductor devices using scan groups. The partitioning of the semiconductor device enables testing of all elements within each scan block, at speed, to provide fault coverage. A challenge in scan testing is keeping the power dissipation during testing under the allowed power capabilities of the tester power supplies, as the power used during scan test is much higher than that used during functional testing. A method for estimating the power dissipation of scan blocks in a circuit during the design stage is disclosed. Using the results generated, the circuit designer divides the design into an optimum number of scan blocks for test. Thus at-speed scan of the individual or groups of scan blocks can be estimated, during design, for optimizing test time while keeping the test power within acceptable limits.
    Type: Application
    Filed: March 20, 2013
    Publication date: August 15, 2013
    Applicant: Atrenta, Inc.
    Inventor: Atrenta, Inc
  • Publication number: 20130198703
    Abstract: Configuration templates reflect configuration information described in hierarchical circuit design data. The object configure information will include both template generic configuration information and instance specific configuration information. The template generic configuration information is configuration information that is common to all instantiations of a corresponding cell in the hierarchical circuit design data. The instance specific configuration information is then configuration information that is particular to one or more specific instantiations of the corresponding cell in the hierarchical circuit design data. After the object configuration templates have been generated, a configuration information analysis unit uses the object configuration information contained in the object configuration templates to identify objects having configuration data that match defined configuration criteria.
    Type: Application
    Filed: August 22, 2012
    Publication date: August 1, 2013
    Inventors: Ziyang Lu, Fedor G. Pikus, Phillip A. Brooks
  • Patent number: 8484596
    Abstract: A method for designing a system on a target device is disclosed. Extraction is performed on a first version of the system during synthesis in a first compilation resulting in a first netlist. Optimizations are performed on the first version of the system during synthesis in the first compilation resulting in a second netlist. Placement and routing are performed on the first version of the system in the first compilation. Extraction is performed on a second version of the system having a changed portion during synthesis in a second compilation resulting in a third netlist. The first version of the system in the first netlist and the second version of the system in the third netlist are differentiated to identify identical regions, wherein at least one of the performing and differentiating is performed by a processor.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: July 9, 2013
    Assignee: Altera Corporation
    Inventors: Doris Tzu Lang Chen, Deshanand Singh
  • Patent number: 8484591
    Abstract: A mechanism is provided for simplifying a netlist before computational resources are exceeded. For each of a set of suspected equivalences in a proof graph of a netlist, a determination is made as to whether equivalence holds for at least one of an equivalence or an equivalence class by identifying whether the equivalence or equivalence class is either affecting or non-affecting. Responsive to the equivalence or equivalence class being affecting, a proof dependency is recorded as an edge in a proof graph. For each node in the proof graph, a determination is made as to whether the node has a falsified dependency. Responsive to the node failing to have a falsified dependency, identification is made that all dependencies are satisfied and that the equivalences represented by the node in the proof graph are sequential equivalences. The netlist is then simplified by consuming the sequential equivalences.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: July 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Publication number: 20130174104
    Abstract: Gating clocks has been a widely adopted technique for reducing dynamic power. The clock gating strategy employed has a huge bearing on the clock tree synthesis quality along with the impact to leakage and dynamic power. This invention is a technique for clock gate optimization to aid the clock tree synthesis. The technique enables cloning and redistribution of the fanout among the existing equivalent clock gates. The technique is placement aware and hence reduces overall clock wire length and area. The technique involves employing the k-means clustering algorithm to geographically partition the design's registers. This invention improves the clock tree synthesis quality on a complex design.
    Type: Application
    Filed: September 14, 2012
    Publication date: July 4, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Ramamurthy Vishweshwara, Mahita Nagabhiru, Venkatraman Ramakrishnan
  • Patent number: 8478574
    Abstract: A mechanism is provided in an integrated circuit simulator for tracking array data contents across three-value read and write operations. The mechanism accounts for write operations with data values and address values having X symbols. The mechanism performs writes to a tree data structure that is used to store the three-valued contents to the array. The simulator includes functionality for updating the array contents for a three-valued write and to read data for a three-valued read. The simulator also includes optimizations for dynamically reducing the size of the data structure when possible in order to save memory in the logic simulator.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: July 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8479143
    Abstract: Methods and apparatus are described for electronic design automation (EDA) that effects the identification, and possibly removal, of certain duplicate circuit components. A signature value representing a circuit component is used to help identify potential duplicates. A signature table stores information about one or more components that share a corresponding signature value. The table is populated during the course of processing the design for duplicate extraction. As each component in the design is encountered, a signature for the component is determined and used to access the signature table information. The current component is compared to any component found by using the signature table and a circuit design modification is indicated to consolidate the components if they are duplicative. The signature table is maintained to reflect the most recent component encountered for a given signature.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: July 2, 2013
    Assignee: Altera Corporation
    Inventor: Gregg William Baeckler
  • Publication number: 20130167095
    Abstract: A system comprises a processor-implemented tool configured to generate a layout of an integrated circuit (IC) die. At least one non-transitory machine readable storage medium includes a first portion encoded with a first gate-level description of first and second circuit patterns to be formed on first and second integrated circuit (IC) dies, respectively, and a second portion encoded with a second gate level description of the first and second circuit patterns received from the processor implemented tool. The second gate level description includes power and ground ports, and the first gate level description does not include power and ground ports. A processor-implemented first verification module is provided for comparing the first and second gate level descriptions and outputting a verified second gate-level description of the first and second circuit patterns.
    Type: Application
    Filed: February 19, 2013
    Publication date: June 27, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Taiwan Semiconductor Manufacturing Co., Ltd.
  • Patent number: 8473883
    Abstract: The illustrative embodiments provide a mechanism for abstraction for arrays in integrated circuit designs. The mechanism constructs abstract models directly from an analysis of the system. The abstract models are both sound and complete for safety properties: a safety property holds in the abstract model if and only if the property holds in the original model. The mechanism of the illustrative embodiments eliminates the need for iterative abstraction refinement. The mechanism of the illustrative embodiments can find small models that verify a system in some cases where other approaches are unable to find a small model. The approach constructs an abstract design from the original design. The abstracted design may have smaller arrays than the original design. The mechanism checks the correctness of the abstracted design by model checking.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventor: Steven M. German
  • Patent number: 8473882
    Abstract: A method, system, and computer program product for reducing the size of a logic network design, prior to verification of the logic network design. The method includes eliminating registers to reduce the size of the logic network design; thereby, increasing the speed and functionality of the verification process, and decreasing the size of the logic network design. The system identifies one or more compatible resubstitutions of a selected register, wherein the compatible resubstitution expresses the selected register as one or more pre-existing registers of fixed initial state. The resubstitutions are refined utilizing design invariants. When one more resubstitutions are preformed, the system eliminates the selected registers to reduce the size of the logic network design. As a result of the resubstitution process, a logic network design of reduced size is generated.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Hari Mony, Viresh Paruthi
  • Publication number: 20130159947
    Abstract: A method for guiding design actions for complex failure modes in an integrated circuit (IC) design is provided in the illustrative embodiments. A probability of failure estimate of a circuit according to the IC design is received, the probability being determined using a simulation. A sensitivity of the probability of failure to a variable associated with a component in the circuit is calculated, wherein the sensitivity is determined by an estimation without the simulation. The sensitivity is depicted relative to the component in the IC design such that the sensitivity is associated with the component and a visual relationship between the component and the sensitivity is usable for adjusting a characteristic of the component to reduce the probability of failure of the circuit.
    Type: Application
    Filed: April 30, 2012
    Publication date: June 20, 2013
    Applicant: International Business Machines Corporation
    Inventors: ANNE ELIZABETH GATTIKER, Sani Richard Nassif
  • Publication number: 20130159946
    Abstract: A system, and computer program product for guiding design actions for complex failure modes in an integrated circuit (IC) design are provided in the illustrative embodiments. A probability of failure estimate of a circuit according to the IC design is received, the probability being determined using a simulation. A sensitivity of the probability of failure to a variable associated with a component in the circuit is calculated, wherein the sensitivity is determined by an estimation without the simulation. The sensitivity is depicted relative to the component in the IC design such that the sensitivity is associated with the component and a visual relationship between the component and the sensitivity is usable for adjusting a characteristic of the component to reduce the probability of failure of the circuit.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: International Business Machines Corporation
    Inventors: Anne Elizabeth Gattiker, Sani Richard Nassif
  • Patent number: 8468478
    Abstract: Techniques for estimating a risk of incorrect timing analysis results for signal paths having cells with inputs tied together are described. Signal paths having cells with tied input pins are identified in a circuit. A timing analysis on the signal paths is run to identify the worst case delay through the signal paths. The risk to the signal paths of incorrect timing analysis results due to the cells with tied input pins is estimated by a tied input pin analysis tool. Metrics that quantify timing failure risk associated with signal paths is provided in the form of a set of equations. These equations are embedded into a process allowing automated multi-modal, multi power voltage temperature analysis for the identification of high risk paths.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: June 18, 2013
    Assignee: Agere Systens LLC
    Inventors: Stephanie L. Alter, Kevin D. Drucker, Vishwas Rao, Leon Song
  • Patent number: 8464191
    Abstract: A system and method for identifying circuit components of an integrated circuit includes a processor identifying geometric characteristics of an integrated circuit and sorting the geometric characteristics by order of occurrence of each geometric characteristic. Co-occurring arrangements of the geometric characteristics are then identified and used to identify a standard cell. The geometric characteristics of the standard cell may then be compared to the geometric characteristics of a known cell. Each electrically significant geometric characteristic of the standard cell can be compared to the electrically significant geometric characteristics of the known cell. If the standard cell matches the known cell an instance of the standard cell can be placed in a layout. Once placing the standard cell in the layout a netlist can be extracted.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: June 11, 2013
    Assignee: R3 Logic, Inc.
    Inventor: Lisa G. McIlrath
  • Publication number: 20130145330
    Abstract: A method for designing a photodetector comprising an array of pixels: selecting at a material composition for the photodetector; determining a configuration of at least one pixel in the array of pixels using a computer simulation, each pixel comprising an active region and a diffractive region, and a photodetector/air interface through which light enters, the computer simulation operating to process different configurations of the pixel to determine an optimal configuration for a predetermined wavelength or wavelength range occurring when waves reflected by the diffractive element form a constructive interference pattern inside the active region to thereby increase the quantum efficiency of the photodetector. An infrared photodetector produced by the method.
    Type: Application
    Filed: January 18, 2013
    Publication date: June 6, 2013
    Applicant: U.S. Army Research Laboratory
    Inventor: U.S. Army Research Laboratory
  • Patent number: 8458629
    Abstract: An abstract decision module primitive for placement within a logical representation (i.e., a netlist) of a circuit design is described. The decision module primitive receives as inputs alternative solutions for a given function or segment of a netlist. The alternative solutions include functionally equivalent, but structurally different implementations of the function or segment of the netlist. The decision module primitive alternatively selects between connecting one of the inputs to the netlist to provide a complete functional definition for the netlist based on constraint information. The selected input of the decision module may be updated as additional constraint information is determined throughout the various stages of the design process. In addition, alternative solutions for a given function or segment of the netlist may be added to and/or removed from the inputs of a decision module as additional constraint information is identified.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: June 4, 2013
    Assignee: Tabula Inc.
    Inventors: Andrew Caldwell, Steven Teig
  • Patent number: 8453084
    Abstract: Methods and apparatuses for approximate functional matching are described including identifying functionally similar subsets of an integrated circuit design or software program, distinguishing control inputs of the subsets from data inputs, and assigning combinations of logic values to the input control signals to capture co-factors for functional matching.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: May 28, 2013
    Assignee: Synopsys, Inc.
    Inventors: Igor L. Markov, Kenneth S. McElvain
  • Patent number: 8453083
    Abstract: A memory is encoded with data that represents a reference IC design, a retimed IC design, and logical relationships, wherein at least one logical relationship describes combinational logic without reference to structural information, such as actual cells that have been instantiated in the IC designs. The logical relationships are used to instantiate logic described therein, and to define one or more black boxes as being functionally inverse of the logic. Each instantiated logic and its functionally inverse black box are thereafter added to the reference IC design to obtain a transformed reference IC design. A transformed retimed IC design is also obtained by addition of the instantiated logic(s) and functionally inverse black box(es) to the retimed IC design. These two transformed IC designs are then supplied to an equivalence checker, for formal verification.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: May 28, 2013
    Assignee: Synopsys, Inc.
    Inventors: Muzaffer Hiraoglu, Peter Wilhelm Josef Zepter
  • Patent number: 8448109
    Abstract: Systems and techniques for evaluating assertions during circuit verification are described. During operation, m semantically equivalent assertions can be identified, wherein each of the m semantically equivalent assertions is evaluated using n logical expressions. Next, a set of vectors based on the m semantically equivalent assertions can be determined, wherein each vector element corresponds to a logical expression that is used for evaluating one of the m semantically equivalent assertions. The m semantically equivalent assertions can then be evaluated, in parallel, using the set of vectors.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: May 21, 2013
    Assignee: Synopsys, Inc.
    Inventors: Eduard Cerny, Surrendra A. Dudani, Samik Sengupta
  • Patent number: 8448107
    Abstract: This disclosure describes a method for accomplishing sequential logical equivalence verification using a hierarchical piecewise approach. Initially, the method provides a reference semiconductor design and a second semiconductor design with logic edits relative to it. The method submits both to formal verification to check the reference design against the second semiconductor design with all edits disabled 200. The semiconductor design is partitioned 202 and associated input constraints 204. The edits are further grouped 206 and ordered 208. The invention also discovers a set of dependencies of the logic edits 210 and checks that the ordering of groups obeys the dependencies 212. Each group of edits is further submitted to formal verification 214 and any input constraints assumed for any partitions are verified in their enclosing partition 216. Finally, the method reports success if formal verification succeeds on each group of logic edits and on each set of input constraints 218.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: May 21, 2013
    Assignee: Apple Inc.
    Inventors: Nathan Francis Sheeley, Mark H. Nodine, Nicolas Xavier Pena, Irfan Waheed, Patrick Peters, Adrian J. Isles
  • Publication number: 20130125072
    Abstract: An automated system and method of performing electronic design rule checking on the netlist of an integrated circuit composed of a plurality of subgraphs. The electronic design rule is embodied as a two part template with a target subgraph specification and a design rule compliance check specification. The target subgraph specification often is at least partially defined by an interactive visual programming section that allows the user to construct a graphic specification of the target netlist. The method first searches the netlist for target subgraphs that match the target subgraph specification, and the user can verify proper target selection. The method then performs rule checks on these search targets, and non compliant subnets identified. Flexibility is enhanced by use of search wildcards, attribute ranges, and various short user scripts which may contain various Boolean logical operations.
    Type: Application
    Filed: January 9, 2013
    Publication date: May 16, 2013
    Inventor: Jesse Conrad Newcomb
  • Patent number: 8443317
    Abstract: A non-transitory computer readable storage media, a computer-implemented method and apparatus for electronic design automation are disclosed. A reference integrated circuit (IC) design and a remitted IC design are received. Instances of cells of the reference IC design and the retimed IC designed are replaced with replacement circuits based on a description of moves of retiming associated with the reference IC design and the synthesized IC design. A comparison of the reference IC design and the retimed IC designed is performed to determine whether the retimed IC design is equivalent to the transformed IC design.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: May 14, 2013
    Assignee: Synopsys, Inc.
    Inventors: Muzaffer Hiraoglu, Peter Wilhelm Josef Zepter