Insulative Material Deposited Upon Semiconductive Substrate Patents (Class 438/778)
  • Publication number: 20130012006
    Abstract: A structure of the plasma treatment apparatus is employed in which an upper electrode has projected portions provided with first introduction holes and recessed portions provided with second introduction holes, the first introduction hole of the upper electrode is connected to a first cylinder filled with a gas which is not likely to be dissociated, the second introduction hole is connected to a second cylinder filled with a gas which is likely to be dissociated, the gas which is not likely to be dissociated is introduced into a reaction chamber from an introduction port of the first introduction hole provided on a surface of the projected portion of the upper electrode, and the gas which is likely to be dissociated is introduced into the reaction chamber from an introduction port of the second introduction hole provided on a surface of the recessed portion.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei YAMAZAKI, Takayuki INOUE, Erumu KIKUCHI, Hiroto INOUE
  • Patent number: 8349746
    Abstract: Embodiments of the present invention pertain to the formation of microelectronic structures. Low k dielectric materials need to exhibit a dielectric constant of less than about 2.6 for the next technology node of 32 nm. The present invention enables the formation of semiconductor devices which make use of such low k dielectric materials while providing an improved flexural and shear strength integrity of the microelectronic structure as a whole.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Alexandros T. Demos, Daemian Raj, Sure Ngo, Kang Sub Yim
  • Patent number: 8349722
    Abstract: A method of manufacturing a semiconductor device includes the steps of: preparing an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O2 to bring the surface more hydrophilic. Film peel-off and cracks in the interlayer insulating layer decrease.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: January 8, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Tamotsu Owada, Shun-ichi Fukuyama, Hirofumi Watatani, Kengo Inoue, Atsuo Shimizu
  • Patent number: 8349629
    Abstract: A semiconductor light-emitting element includes a first semiconductor layer having a first conduction type, a second semiconductor layer having a second conduction type, an active layer provided between the first and second semiconductor layers, a polarity inversion layer provided on the second semiconductor layer, and a third semiconductor layer having the second conduction type provided on the polarity inversion layer. Crystal orientations of the first through third semiconductor layers are inverted, with the polarity inversion layer serving as a boundary. The first and third semiconductor layers have uppermost surfaces made from polar faces having common constitutional elements. Hexagonal conical protrusions arising from a crystal structure are formed at outermost surfaces of the first and third semiconductor layers. The first through third semiconductor layers are made from a wurtzite-structure group III nitride semiconductor, and are layered along a C-axis direction of the crystal structure.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: January 8, 2013
    Assignee: Stanley Electric Co., Ltd.
    Inventors: Yusuke Yokobayashi, Satoshi Tanaka, Masahiko Moteki
  • Patent number: 8343880
    Abstract: A process for forming a zeolite beta dielectric layer onto a substrate such as a silicon wafer has been developed. The zeolite beta is characterized in that it has an aluminum concentration from about 0.1 to about 2.0 wt. %, and has crystallites from about 5 to about 40 nanometers. The process involves first dealuminating a starting zeolite beta, then preparing a slurry of the dealuminated zeolite beta followed by coating a substrate, e.g. silicon wafer with the slurry, heating to form a zeolite beta film and treating the zeolite beta with a silylating agent.
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: January 1, 2013
    Assignee: UOP LLC
    Inventors: Hayim Abrevaya, Richard R. Willis, Stephen T. Wilson
  • Publication number: 20120329208
    Abstract: Atomic layer deposition (ALD) processes for forming Group VA element containing thin films, such as Sb, Sb—Te, Ge—Sb and Ge—Sb—Te thin films are provided, along with related compositions and structures. Sb precursors of the formula Sb(SiR1R2R3)3 are preferably used, wherein R1, R2, and R3 are alkyl groups. As, Bi and P precursors are also described. Methods are also provided for synthesizing these Sb precursors. Methods are also provided for using the Sb thin films in phase change memory devices.
    Type: Application
    Filed: October 25, 2010
    Publication date: December 27, 2012
    Applicant: ASM INTERNATIONAL N.V.
    Inventors: Viljami Pore, Timo Hatanpää, Mikko Ritala, Markku Leskelä
  • Patent number: 8338283
    Abstract: Systems and methods for applying a thin layer of a liquid to the surface of a wafer with topography formed therein. The systems and methods include spreading a deposit of the liquid into a thin film on a wafer support, lowering the wafer onto the film, removing the wafer with an adhering layer of the film, positioning the wafer over a device wafer with the liquid film disposed between the wafers, curing the thin layer. The thin layer may be a UV adhesive which bonds the wafers upon exposure to UV light.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: December 25, 2012
    Assignee: Innovative Micro Technology
    Inventor: David M. Erlach
  • Patent number: 8338313
    Abstract: A method for nondestructive laser lift-off of GaN from sapphire substrates is disclosed. A solid-state laser is used as the laser source. A small laser-spot having a perimeter length of 3 to 1000 micrometers and a distance of two farthest corners or a longest diameter of no more than 400 micrometers is used for laser scanning point-by-point and line-by-line. The energy at the center of the laser-spot is the strongest and is gradually reduced toward the periphery. A nondestructive laser lift-off with a small laser-spot is achieved. The scanning mode of the laser lift-off is improved. Device lift-off can be achieved without the need of aiming. As a result, the laser lift-off process is simplified, and the efficiency is improved while the rejection rate is reduced. The obstacles of the industrialization of the laser lift-off process are removed.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: December 25, 2012
    Assignee: Sino Nitride Semiconductor Co, Ltd.
    Inventors: Guoyi Zhang, Yongjian Sun, Xiangning Kang, Zhizhong Chen, Zhijian Yang, Xinrong Yang
  • Patent number: 8336487
    Abstract: The invention includes inserting an object to be processed into a processing vessel, which can be maintained vacuum, and making the processing vessel vacuum; performing a sequence of forming a ZrO2 film on a substrate by alternately supplying zirconium source and an oxidizer into the processing vessel for a plurality of times and a sequence of forming SiO2 film on the substrate by alternately supplying silicon source and an oxidizer into the processing vessel for one or more times, wherein the number of times of performing each of the sequences is adjusted such that Si concentration of the films is from about 1 atm % to about 4 atm %; and forming a zirconia-based film having a predetermined thickness by performing the film forming sequences for one or more cycles, wherein one cycle indicates that each of the ZrO2 film forming sequences and the SiO2 film forming sequences are repeated for the adjusted number of times of performances.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: December 25, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yoshihiro Ishida, Katsushige Harada, Takuya Sugawara
  • Patent number: 8334222
    Abstract: A processing method of a semiconductor wafer is provided. The method comprising the steps of: removing at least part of oxide film from a surface of the semiconductor wafer; removing liquid from the surface; and providing at least partial oxide film on the surface by applying an oxidizing gas wherein a gas flow of the oxidizing gas and/or an ambient gas involved by the oxidizing gas is characterized by an unsaturated vapor pressure of the liquid such that the liquid on the surface vaporizes. The above-described steps are conducted in this order.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: December 18, 2012
    Assignee: Sumco Techxiv Corporation
    Inventors: Isamu Gotou, Tomonori Kawasaki
  • Patent number: 8336000
    Abstract: According to one embodiment, a method is disclosed for determining position of an auxiliary pattern on a photomask. The method can include generating a first set for each of three or more imaging positions of an exposure optical system. The method can include generating a second set for each of the three or more imaging positions by inverse Fourier transforming each of the first set. The method can include calculating a second order differential with respect to the imaging position of an index indicating amplitude of light belonging to the second set. In addition, the method can include extracting a position where the second order differential assumes an extremal value on an imaging plane of the exposure optical system. At least part of positions on the photomask each corresponding to the position assuming the extremal value on the imaging plane is used as a formation position of the auxiliary pattern.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: December 18, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasunobu Kai, Katsuyoshi Kodera
  • Patent number: 8329597
    Abstract: A semiconductor process having a dielectric layer including metal oxide is provided. The semiconductor process includes: A substrate is provided. A dielectric layer including metal oxide is formed on the substrate, wherein the dielectric layer has a plurality of oxygen-related vacancies. A first oxygen-importing process is performed to fill the oxygen-related vacancies with oxygen. Otherwise, three MOS transistor processes are also provided, each of which has a gate dielectric layer including a high dielectric constant, and a first oxygen-importing process is performed to fill the oxygen-related vacancies with oxygen.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: December 11, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chan-Lon Yang, Shih-Fang Tzou, Chen-Kuo Chiang
  • Patent number: 8329598
    Abstract: Methods of forming a top oxide around a charge storage material layer of a memory cell and methods of improving quality of a top oxide around a charge storage material layer of a memory cell are provided. The method can involve providing a charge storage layer on a semiconductor substrate, a nitride layer on the charge storage layer, and a first poly layer on the nitride layer, and converting at least a portion of the nitride layer to a top oxide. By converting at least a portion of a nitride layer to a top oxide layer, the quality of the resultant top oxide layer can be improved.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: December 11, 2012
    Assignee: Spansion LLC
    Inventors: Chungho Lee, Kuo-Tung Chang, Hiroyuki Kinoshita, Huaqiang Wu, Fred Cheung
  • Patent number: 8329518
    Abstract: The present invention provides methods for manufacturing a thin film transistor (TFT) array substrate and a display panel.
    Type: Grant
    Filed: October 11, 2011
    Date of Patent: December 11, 2012
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventors: Jing-feng Xue, Jehao Hsu, Xiaohui Yao
  • Publication number: 20120309207
    Abstract: A disclosed fabrication method of a semiconductor device includes steps of depositing a dielectric film on a semiconductor substrate; thermally treating the dielectric film; and irradiating an ionized gas cluster onto the thermally treated dielectric film.
    Type: Application
    Filed: May 17, 2012
    Publication date: December 6, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Koji AKIYAMA, Hirokazu Higashijima, Yoshitsugu Tanaka, Yasushi Akasaka, Koji Yamashita
  • Publication number: 20120309206
    Abstract: One aspect of the invention relates to a method for deposition of a film having a predetermined film composition. The method comprises: in a deposition chamber: providing a substrate at a fixed temperature; depositing a film; flowing a mixture of two gases, wherein the ratio of the two gases is selected such that the mixture has a redox potential to provide a predetermined film composition. In some embodiments, depositing a film occurs via an atomic layer deposition process or chemical vapor deposition process. Methods for chemical vapor deposition of a metal or lanthanide oxide layer are provided featuring a mixture of oxidizing and reducing gases is flowed over the transition metal oxide or lanthanide oxide layer. The mixture of gases has an oxidation potential selected to produce a layer having a desired stoichiometry of a deposited film.
    Type: Application
    Filed: April 20, 2012
    Publication date: December 6, 2012
    Applicant: Applied Materials, Inc.
    Inventor: David Thompson
  • Patent number: 8324117
    Abstract: A method of forming a dielectric layer on a further layer of a semiconductor device is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer, the dielectric precursor compound comprising a metal ion from the group consisting of Yttrium and the Lanthanide series elements, and the further precursor compound comprising a metal ion from the group consisting of group IV and group V metals; and chemically converting the dielectric precursor compound and the further precursor compound into a dielectric compound and a further compound respectively, the further compound self-assembling during said conversion into a plurality of nanocluster nuclei within the dielectric layer formed from the first dielectric precursor compound. The nanoclusters may be dielectric or metallic in nature. Consequently, a dielectric layer is formed that has excellent charge trapping capabilities.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: December 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jinesh Balakrishna Pillai Kochupurackal, Willem Frederik Adrianus Besling, Johan Hendrik Klootwijk, Robert Adrianus Maria Wolters, Freddy Roozeboom
  • Patent number: 8324123
    Abstract: To provide a glass plate which has a low B2O3 content and which can be used as a glass plate for e.g. an LCD panel. A glass plate which comprises, as a glass matrix composition as represented by mass % based on oxide, from 53 to 74 mass % of SiO2, from 15 to 23 mass % of Al2O3, from 0 to 3 mass % of B2O3, from 2 to 17 mass % of MgO, from 0 to 12 mass % of CaO, from 0 to 6 mass % of SrO, from 6 to 28 mass % of MgO+CaO+SrO, from 0 to 9 mass % of Na2O, from 0 to 6 mass % of K2O and from 0.8 to 11 mass % of Na2O+K2O, contains from 100 to 500 ppm of SO3, has an average coefficient of thermal expansion from 50 to 350° C. of at most 60×10?7/° C., and has a strain point of at least 600° C.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: December 4, 2012
    Assignee: Asahi Glass Company, Limited
    Inventors: Yuya Shimada, Manabu Nishizawa, Junichiro Kase
  • Publication number: 20120302011
    Abstract: An ultra low-k dielectric material layer is formed on a semiconductor substrate. In one embodiment, a grid of wires is placed at a distance above a top surface of the ultra low-k dielectric material layer and is electrically biased such that the total electron emission coefficient becomes 1.0 at the energy of electrons employed in electron beam curing of the ultra low-k dielectric material layer. In another embodiment, a polymeric conductive layer is formed directly on the ultra low-k dielectric material layer and is electrically biased so that the total electron emission coefficient becomes 1.0 at the energy of electrons employed in electron beam curing of the ultra low-k dielectric material layer. By maintaining the total electron emission coefficient at 1.0, charging of the substrate is avoided, thus protecting any device on the substrate from any adverse changes in electrical characteristics.
    Type: Application
    Filed: July 30, 2012
    Publication date: November 29, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christos D. Dimitrakopoulos, Kam L. Lee, Robert L. Wisnieff
  • Patent number: 8318584
    Abstract: The formation of a gap-filling silicon oxide layer with reduced volume fraction of voids is described. The deposition involves the formation of an oxygen-rich less-flowable liner layer before an oxygen-poor more-flowable gapfill layer. However, the liner layer is deposited within the same chamber as the gapfill layer. The liner layer and the gapfill layer may both be formed by combining a radical component with an unexcited silicon-containing precursor (i.e. not directly excited by application of plasma power). The liner layer has more oxygen content than the gapfill layer and deposits more conformally. The deposition rate of the gapfill layer may be increased by the presence of the liner layer. The gapfill layer may contain silicon, oxygen and nitrogen and be converted at elevated temperature to contain more oxygen and less nitrogen. The presence of the gapfill liner provides a source of oxygen underneath the gapfill layer to augment the gas phase oxygen introduced during the conversion.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: November 27, 2012
    Assignee: Applied Materials, Inc.
    Inventors: DongQing Li, Jingmei Liang, Nitin K. Ingle
  • Patent number: 8318612
    Abstract: The invention provides methods which can be applied during the epitaxial growth of two or more layers of Group III-nitride semiconductor materials so that the qualities of successive layer are successively improved. In preferred embodiments, surface defects interact with a protective layer of a protective material to form amorphous complex regions capable of preventing the further propagation of defects and dislocations. The invention also includes semiconductor structures fabricated by these methods.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: November 27, 2012
    Assignees: Soitec, Arizona Board of Regents for and on behalf of Arizona State University
    Inventors: Chantal Arena, Subhash Mahajan
  • Publication number: 20120295448
    Abstract: Techniques related to nanocomposite dielectric materials are generally described herein. These techniques may be embodied in apparatuses, systems, methods and/or processes for making and using such material. An example process may include: providing a film having a plurality of nanoparticles and an organic medium; comminuting the film to form a particulate; and applying the particulate to a substrate. The example process may also include providing a nanoparticle film having nanoparticles and voids located between the nanoparticles; contacting the film with a vapor containing an organic material; and curing the organic material to form the nanocomposite dielectric film. Various described techniques may provide nanocomposite dielectric materials with superior nanoparticle dispersion which may result in improved dielectric properties.
    Type: Application
    Filed: April 13, 2011
    Publication date: November 22, 2012
    Applicant: Empire Technology Development LLC
    Inventor: Seth Miller
  • Publication number: 20120295449
    Abstract: A method of forming a dielectric film having at least Si—N, Si—C, or Si—B bonds on a semiconductor substrate by atomic layer deposition (ALD), includes: supplying a precursor in a pulse to adsorb the precursor on a surface of a substrate; supplying a reactant gas in a pulse over the surface without overlapping the supply of the precursor; reacting the precursor and the reactant gas on the surface; and repeating the above steps to form a dielectric film having at least Si—N, Si—C, or Si—B bonds on the substrate. The precursor has at least one Si—C or Si—N bond, at least one hydrocarbon, and at least two halogens attached to silicon in its molecule.
    Type: Application
    Filed: August 3, 2012
    Publication date: November 22, 2012
    Applicant: ASM JAPAN K.K.
    Inventor: Atsuki Fukazawa
  • Patent number: 8313994
    Abstract: A method is provided for forming a high-k gate stack with a reduced effective oxide thickness (EOT) for a semiconductor device. The method includes providing a silicon-containing substrate, forming an interface layer on the silicon-containing substrate, where the interface layer has a first equivalent oxide thickness, depositing a first high-k film on the interface layer, and heat-treating the first high-k film and the interface layer at a temperature that forms a modified interface layer, where the modified interface layer has a second equivalent oxide thickness that is equal to or lower than the first equivalent oxide thickness. The method further includes depositing a second high-k film on the modified interface layer. According to one embodiment, the first high-k film includes lanthanum oxide and the second high-k film includes hafnium silicate.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: November 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Robert D Clark
  • Publication number: 20120289052
    Abstract: Provided are methods for depositing a high-k dielectric film on a substrate. The methods comprise annealing a substrate after cleaning the surface to create dangling bonds and depositing the high-k dielectric film on the annealed surface.
    Type: Application
    Filed: May 10, 2011
    Publication date: November 15, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: TATSUYA E. SATO, MAITREYEE MAHAJANI
  • Patent number: 8309438
    Abstract: A method and semiconductor device for synthesizing graphene using ion implantation of carbon. Carbon is implanted in a metal using ion implantation. After the carbon is distributed in the metal, the metal is annealed and cooled in order to precipitate the carbon from the metal to form a layer of graphene on the surface of the metal. The metal/graphene surface is then transferred to a dielectric layer in such a manner that the graphene layer is placed on top of the dielectric layer. The metal layer is then removed. Alternatively, recessed regions are patterned and etched in a dielectric layer located on a substrate. Metal is later formed in these recessed regions. Carbon is then implanted into the metal using ion implantation. The metal may then be annealed and cooled in order to precipitate the carbon from the metal to form a layer of graphene on the metal's surface.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: November 13, 2012
    Assignees: Board of Regents, The University of Texas System, Texas Instruments, Inc.
    Inventors: Luigi Colombo, Robert M. Wallace, Rodney S. Ruoff
  • Publication number: 20120280228
    Abstract: The present invention relates to a method for producing an electronic component, in particular a field-effect transistor (FET), comprising at least one substrate, at least one dielectric, and at least one semiconducting metal oxide, wherein the dielectric or a precursor compound thereof based on organically modified silicon oxide compounds, in particular based on silsequioxanes and/or siloxanes, can be processed out of solution, and is thermally treated at a low temperature from room temperature to 350° C., and the semiconductive metal oxide, in particular ZnO or a precursor compound thereof, can also be processed from solution at a low temperature from room temperature to 350° C.
    Type: Application
    Filed: December 3, 2010
    Publication date: November 8, 2012
    Applicant: BASF SE
    Inventors: Friederike Fleischhaker, Veronika Wloka, Thomas Kaiser
  • Patent number: 8304353
    Abstract: Embodiments disclosed herein pertain to silicon dioxide deposition methods using at least ozone and tetraethylorthosilicate (TEOS) as deposition precursors. In one embodiment, a silicon dioxide deposition method using at least ozone and TEOS as deposition precursors includes flowing precursors comprising ozone and TEOS to a substrate under subatmospheric pressure conditions effective to deposit silicon dioxide-comprising material having an outer surface onto the substrate. The outer surface is treated effective to one of add hydroxyl to or remove hydroxyl from the outer surface in comparison to any hydroxyl presence on the outer surface prior to said treating. After the treating, precursors comprising ozone and TEOS are flowed to the substrate under subatmospheric pressure conditions effective to deposit silicon dioxide-comprising material onto the treated outer surface of the substrate. Other embodiments are contemplated.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: November 6, 2012
    Assignee: Micron Technology, Inc.
    Inventors: John Smythe, Gurtej S. Sandhu
  • Publication number: 20120276743
    Abstract: A method of forming a carbon type hard mask layer using induced coupled plasma includes loading a substrate onto a lower electrode in a process chamber of an induced coupled plasma (ICP) deposition apparatus, the process chamber including an upper electrode and the lower electrode therein, generating a plasma in the process chamber, injecting a reactive gas into the process chamber such that the reactive gas is activated by colliding with the plasma, the reactive gas including a hydrocarbon compound gas, and applying a bias power to the lower electrode to form a diamond-like carbon layer on the substrate from the activated reactive gas.
    Type: Application
    Filed: April 26, 2012
    Publication date: November 1, 2012
    Inventors: Jai-Hyung Won, Se-Jun Park
  • Publication number: 20120273034
    Abstract: A metal substrate with an insulation layer includes a metal substrate having at least an aluminum base and an insulation layer formed on said aluminum base of said metal substrate. The insulation layer is a porous type anodized film of aluminum. The anodized film includes a barrier layer portion and a porous layer portion, and at least the porous layer portion has compressive strain at room temperature. a magnitude of the strain ranges from 0.005% to 0.25%. The anodized film has a thickness of 3 micrometers to 20 micrometers.
    Type: Application
    Filed: February 2, 2011
    Publication date: November 1, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Keigo Sato, Ryuichi Nakayama, Shigenori Yuya, Atsushi Mukai, Shinya Suzuki, Youta Miyashita
  • Patent number: 8298965
    Abstract: Disclosed herein are precursors and methods for their use in the manufacture of semiconductor, photovoltaic, TFT-LCD, or flat panel type devices.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: October 30, 2012
    Assignee: American Air Liquide, Inc.
    Inventors: James J. F. McAndrew, Francois Doniat
  • Patent number: 8298964
    Abstract: A semiconductor device and a method of forming it are disclosed in which at least two adjacent conductors have an air-gap insulator between them which is covered by nanoparticles of insulating material being a size which prevent the nanoparticles from substantially entering into the air-gap.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: October 30, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Gurtej Sandhu, Neil Greeley, John Smythe
  • Patent number: 8293657
    Abstract: Systems and methods for processing sacrificial layers in MEMS device fabrication are provided. In one embodiment, a method comprises: applying a patterned layer of Aerogel material onto a substrate to form an Aerogel sacrificial layer; applying at least one non-sacrificial silicon layer over the Aerogel sacrificial layer, wherein the non-sacrificial silicon layer is coupled to the substrate through one or more gaps provided in the patterned layer of Aerogel material; and removing the Aerogel sacrificial layer by exposing the Aerogel sacrificial layer to a removal liquid.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: October 23, 2012
    Assignee: Honeywell International Inc.
    Inventor: James F. Detry
  • Patent number: 8293626
    Abstract: It is an object to provide a homogeneous semiconductor film in which variation in the size of crystal grains is reduced. Alternatively, it is an object to provide a homogeneous semiconductor film and to achieve cost reduction. By introducing a glass substrate over which an amorphous semiconductor film is formed into a treatment atmosphere set at more than or equal to a temperature that is needed for crystallization, rapid heating due to heat conduction from the treatment atmosphere is performed so that the amorphous semiconductor film is crystallized. More specifically, for example, after the temperature of the treatment atmosphere is increased in advance to a temperature that is needed for crystallization, the substrate over which the semiconductor film is formed is put into the treatment atmosphere.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: October 23, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Naoki Okuno
  • Publication number: 20120264311
    Abstract: The present invention provides a surface treatment method for germanium based device. Through performing surface pretreatment to the germanium based device by using an aqueous solution of ammonium fluoride as a passivant, the interface state may be reduced, the formation of natural oxidation layer at the germanium surface may be inhibited, the regeneration of natural oxidation layer and the out-diffusion of the germanium based substrate material can be effectively inhibited, and the thermal stability of the metal germanide may also be increased significantly, so that the interface quality of the germanium based device is improved easily and effectively, which are advantageous to improve the performance of the germanium based transistor.
    Type: Application
    Filed: April 8, 2011
    Publication date: October 18, 2012
    Applicant: PEKING UNIVERSITY
    Inventors: Xia An, Yue Guo, Runsheng Wang, Ru Huang, Xing Zhang
  • Patent number: 8288294
    Abstract: An object is to provide an insulating film for a semiconductor device which has characteristics of a low permittivity, a low leakage current, and a high mechanical strength, undergoes less change in these characteristics with the elapse of time, and has an excellent water resistance, as well as to provide a process and an apparatus for producing the insulating film for a semiconductor device, a semiconductor device, and a process for producing the semiconductor device.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: October 16, 2012
    Assignees: Mitsubishi Heavy Industries, Ltd., Mitsubishi Electric Corporation
    Inventors: Hidetaka Kafuku, Toshihito Fujiwara, Toshihiko Nishimori, Tadashi Shimazu, Naoki Yasuda, Hideharu Nobutoki, Teruhiko Kumada, Takuya Kamiyama, Tetsuya Yamamoto, Shinya Shibata
  • Publication number: 20120258604
    Abstract: A deposition method capable of forming an oxide film with a predetermined film thickness ratio using a deposition gas with which a small film thickness ratio is obtained and a deposition gas with which a large film thickness ratio is obtained. When forming an oxide film having a larger film thickness on the surface of a substrate than on the bottom surface of the hole so that the film thickness ratio of the oxide film formed on the surface of the substrate to the oxide film formed on the bottom surface of the hole becomes a predetermined ratio, plasma is generated from a gas mixture including tetraethoxysilane and oxygen to form an oxide film and then plasma is generated from a gas mixture including silane and nitrous oxide.
    Type: Application
    Filed: November 25, 2010
    Publication date: October 11, 2012
    Applicant: SPP TECHNOLOGIES CO., LTD.
    Inventors: Masayasu Hatashita, Akimitsu Oishi, Shoichi Murakami
  • Patent number: 8283265
    Abstract: Methods of improving charge trapping are disclosed. One such method includes forming an oxide-nitride-oxide tunnel stack and a silicon nitride layer on the oxide-nitride-oxide tunnel stack. This silicon nitride layer is implanted with ions. These ions may function as electron traps or as fields. The silicon nitride layer may be part of a flash memory device.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: October 9, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Deepak Ramappa, Kyu-Ha Shim
  • Publication number: 20120252227
    Abstract: A method of manufacturing a semiconductor device includes the steps of: preparing an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O2 to bring the surface more hydrophilic. Film peel-off and cracks in the interlayer insulating layer decrease.
    Type: Application
    Filed: June 11, 2012
    Publication date: October 4, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Tamotsu Owada, Shun-ichi Fukuyama, Hirofumi Watatani, Kengo Inoue, Atsuo Shimizu
  • Publication number: 20120248445
    Abstract: High performance thin-film, transistors are entirely processed at temperatures not exceeding 150° C., using amorphous multi component dielectrics based on the mixture of high band gap and high dielectric constant (K) materials. The sputtered or ink jet printed mixed dielectric materials such as Ta2O5 with SiO2 or Al2O3 or HfO2 with SiO2 or Al2O3 are used. These multicomponent dielectrics allow producing amorphous dielectrics to be introduced in high stable electronic devices with low leakage currents, while preserving a high dielectric constant. This results in producing thin film transistors with remarkable electrical properties, such as the ones produced based on Ga—In—Zn oxide as channel layers and where the dielectric was the combination of the mixture Ta2O5:SiO2, exhibiting field-effect mobility exceeding 35 cm2 V?1 s?1, close to 0 V turn-on voltage, on/off ratio higher than 106 and subthreshold slope below 0.24 V dec?1.
    Type: Application
    Filed: August 5, 2010
    Publication date: October 4, 2012
    Applicants: Faculdad de Ciencias e Technologia da Universidade Nova de Lisboa, Universidad de Barcelona, Jozef Stefan Institute
    Inventors: Rodrigo Ferrão De Paiva Martins, Elvira Maria Correia fortunato, Pedro Miguel Cândido Barquinha, Luís Miguel Nunes Pereira, Gonçalo Pedro Gonçalves, Danjela Kuscer Hrovatin, Marija Kosec
  • Patent number: 8278225
    Abstract: A dielectric layer containing a hafnium tantalum oxide film and a method of fabricating such a dielectric layer produce a dielectric layer for use in a variety of electronic devices. Embodiments include structures for capacitors, transistors, memory devices, and electronic systems with dielectric layers containing a hafnium tantalum oxide film structured as one or more monolayers.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: October 2, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8278224
    Abstract: Methods and apparatus for filling gaps on partially manufactured semiconductor substrates with dielectric material are provided. In certain embodiments, the methods include introducing a first process gas into the processing chamber and accumulating a second process gas in an accumulator maintained at a pressure level substantially highest than that of the processing chamber pressure level. The second process gas is then rapidly introduced from the accumulator into the processing chamber. An excess amount of the second process gas may be provided in the processing chamber during the introduction of the second process gas. Flowable silicon-containing films forms on a surface of the substrate to at least partially fill the gaps.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: October 2, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Collin K. L. Mui, Lakshminarayana Nittala, Nerissa S. Draeger
  • Publication number: 20120244721
    Abstract: A method of forming a dielectric film including a zirconium oxide film includes: forming a zirconium oxide film on a substrate to be processed by supplying a zirconium material and an oxidant, the zirconium material including a Zr compound which includes a cyclopentadienyl ring in a structure, and forming a titanium oxide film on the zirconium oxide film by supplying a titanium material and an oxidant, the titanium material including a Ti compound which includes a cyclopentadienyl ring in a structure.
    Type: Application
    Filed: March 26, 2012
    Publication date: September 27, 2012
    Applicants: TOKYO ELECTRON LIMITED, ELPIDA MEMORY INC.
    Inventors: Yuichiro MOROZUMI, Takuya SUGAWARA, Koji AKIYAMA, Shingo HISHIYA, Toshiyuki HIROTA, Takakazu KIYOMURA
  • Publication number: 20120244720
    Abstract: Disclosed is a processing method that removes moisture in a low permittivity film formed on a substrate to be processed which has a damaged layer on the surface thereof while maintaining the specific permittivity or a leakage current value low when the film is subjected to a recovery processing. The method for the recovery processing includes applying, on the damaged layer of the low permittivity film, a first processing gas whose molecules are small sufficient to permeate the inside of the damaged layer of the low permittivity film and which is able to remove the moisture in the damaged layer and a second processing gas which forms a hydrophobic dense reformatted layer on the surface of the damaged layer, thereby allowing the first processing gas and the second processing gas to react with the damaged layer.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 27, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Wataru SHIMIZU, Kiyoshi MAEDA, Toshifumi NAGAIWA
  • Patent number: 8273667
    Abstract: The present invention related to a method for manufacturing a semiconductor device. More particularly, this method describes how to manufacture a semiconductor device having a porous, low dielectric constant layer formed between metal lines, comprising an insulation layer enveloping fillers.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: September 25, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chang Soo Park
  • Patent number: 8268683
    Abstract: A method for reducing interfacial layer (IL) thickness for high-k dielectrics and metal gate stack is provided. In one embodiment, the method includes forming an interfacial layer on a semiconductor substrate, etching back the interfacial layer, depositing a high-k dielectric material over the interfacial layer, and forming a metal gate over the high-k dielectric material. The IL can be chemical oxide, ozonated oxide, thermal oxide, or formed by ultraviolet ozone (UVO) oxidation process from chemical oxide, etc. The etching back of IL can be performed by a Diluted HF (DHF) process, a vapor HF process, or any other suitable process. The method can further include performing UV curing or low thermal budget annealing on the interfacial layer before depositing the high-k dielectric material.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: September 18, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Gi Yao, Chun-Hu Cheng, Chen-Yi Lee, Jeff J. Xu, Clement Hsingjen Wann
  • Patent number: 8263492
    Abstract: Methods and apparatus for forming through-vias are presented, for example, a method for forming a via in a portion of a semiconductor wafer comprising a substrate. The method comprises forming a trench surrounding a first part of the substrate such that the first part is separated from a second part of the substrate, forming a hole through the substrate within the first part, and forming a first metal within the hole. The trench extends through the substrate. The first metal extends from a front surface of the substrate to a back surface of the substrate. The via comprises the hole and the first metal.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: September 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: John Michael Cotte, Christopher Vincent Jahnes, Bucknell Chapman Webb
  • Patent number: 8263502
    Abstract: A substrate structure is produced by forming a first material layer on a substrate having a recess, removing the first material layer from the portion of the substrate except for the recess using a second material that reacts with the first material, and forming a deposition film from the first material layer using a third material that reacts with the first material. A method of manufacturing a device may include the method of forming a substrate structure.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: September 11, 2012
    Assignee: Synos Technology, Inc.
    Inventor: Sang In Lee
  • Patent number: 8263473
    Abstract: A semiconductor device includes an insulating layer and an undoped polysilicon layer that are stacked over a semiconductor substrate. The semiconductor substrate is exposed by removing the portions of the undoped polysilicon layer and the insulating layer. The trenches are formed by etching the exposed semiconductor substrate. Isolation layers are formed in the trenches, and a doped polysilicon layer is formed by implanting impurities into the undoped polysilicon layer.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: September 11, 2012
    Assignee: SK Hynix Inc.
    Inventor: Sang Soo Lee
  • Patent number: 8258064
    Abstract: Methods of forming a metal silicate layer and methods of fabricating a semiconductor device including the metal silicate layer are provided, the methods of forming the metal silicate layer include forming the metal silicate using a plurality of silicon precursors. The silicon precursors are homoleptic silicon precursors in which ligands bound to silicon have the same molecular structure.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: September 4, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-cheol Lee, Ki-yeon Park, Se-hoon Oh, Youn-soo Kim