Integrated Circuit Design Processing Patents (Class 716/100)
  • Patent number: 8856699
    Abstract: A three-dimensional semiconductor device, comprising: a circuit block located in a first module layer; and a configuration circuit to control the circuit block further comprising a configurable element in a second module layer positioned above the first module layer.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: October 7, 2014
    Inventor: Raminda Udaya Madurawe
  • Patent number: 8856715
    Abstract: Methodologies enabling BEoL VNCAPs in ICs and resulting devices are disclosed. Embodiments include: providing a plurality of mandrel recesses extending horizontally on a substrate, each of the mandrel recesses having an identical width and being separated from another one of the mandrel recesses by an identical distance; providing a plurality of routes, each of the plurality of routes being positioned in a different one of the mandrel recesses; and providing first and second vertical segments on the substrate, the first vertical segment being connected to a set of the plurality of routes and separated from the second vertical segment, and the second vertical segment being separated from the set of routes.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: October 7, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Jason Stephens, Vikrant Chauhan, Lawrence Clevenger, Ning Lu, Albert Chu
  • Patent number: 8850380
    Abstract: Systems and methods for selective voltage binning within a three-dimensional integrated chip stack. A method is provided that includes defining a correlation between at least two parameters. At least one parameter of the at least two parameters is from a first chip of a three-dimensional integrated chip stack and at least one parameter of the at least two parameters is from a second chip of the three-dimensional integrated chip stack. The method further includes generating a covariance matrix based on the at least two parameters. The method further includes calculating a new parameter or new parameter set using the covariance matrix. The method further includes performing statistical static timing analysis (SSTA) such that the new parameter or the new parameter set is propagated into the SSTA. The method further includes determining whether timing targets for the three-dimensional integrated chip stack are achieved.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: September 30, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Eric A. Foreman
  • Patent number: 8850369
    Abstract: A method for optimizing masks used for forming conductive features and a method for creating the mask features on an IC device are disclosed. An exemplary embodiment includes receiving a design database including a plurality of conductive features. First and second features suitable for joining are identified from the plurality of conductive features. A joined feature corresponding to the first and the second features is characterized. A cut shape configured to separate the first and second features from the joined feature is also characterized. The joined feature is categorized into a first conductive mask, the cut shape is categorized into a cut mask, and a third feature is categorized into a second conductive mask. The categorized shapes and features of the first conductive mask, the second conductive mask, and the cut mask are provided for manufacturing a mask set corresponding to the categorized shapes and features.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuan-Hsiang Lung, Kuei-Shun Chen, Meng-Wei Chen, Chia-Ying Lee
  • Patent number: 8850371
    Abstract: Embodiments of the invention may include receiving a design netlist representing a datapath operable to execute a function corresponding to an opcode combination. The datapath may include an input stage, a register stage, and an output stage and the register stage may include a plurality of registers. For a first function corresponding to a first opcode combination, a subset of unused registers in the plurality of registers may be automatically determined. Further, clock gating logic may be automatically inserted into the design netlist, wherein the clock gating logic is operable to dynamically clock gate the subset of unused registers contemporaneously when the datapath executes the first function corresponding to the first opcode combination.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: September 30, 2014
    Assignee: NVIDIA Corporation
    Inventor: Colin Pearse Sprinkle
  • Patent number: 8839161
    Abstract: A semiconductor device includes a first semiconductor chip including a plurality of driver circuits and an output switching circuit coupled to the plurality of driver circuits. The device also includes a second semiconductor chip and a plurality of through silicon vias provided on at least one of the first and second semiconductor chips. The output switching circuit is coupled between the plurality of driver circuits and the plurality of the through silicon vias, and outputs each of signals from the plurality of driver circuits to corresponding one of the plurality of through silicon vias.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: September 16, 2014
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Patent number: 8839162
    Abstract: Exemplary embodiments include a method for modifying a circuit synthesis flow having automated instructions, the method including receiving circuit design input for a circuit design, receiving custom specifications to the circuit design input, synthesizing high level logic from the circuit design input, placing logic on the circuit design, refining the circuit design and generating a circuit description from the circuit design.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael D. Amundson, Dorothy Kucar, Ruchir Puri, Chin Ngai Sze, Matthew M. Ziegler
  • Patent number: 8839163
    Abstract: A behavioral synthesis method according to the present invention includes generating a scheduled CDFG based on behavioral description information, generating a lifetime for each variable based on the scheduled CDFG, selecting m variables whose lifetimes do not overlap on a time axis, allocating a first register to a first variable having a first bit width and bits of the first bit width within another variable, allocating a second register to bits other than the bits of the first bit width within another variable, and outputting circuit information of a synthesized circuit including the first and second registers.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: September 16, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Motohide Ootsubo
  • Patent number: 8831791
    Abstract: Illustrative embodiments provide a computer implemented method, a data processing system, and a computer program product for adjusting cooling settings. The computer implemented method comprises analyzing a set of instructions of an application to determine a number of degrees by which a set of instructions will raise a temperature of at least one processor core. The computer implemented method further calculates a cooling setting for at least one cooling system for the at least one processor core. The computer implemented method adjusts the at least one cooling system based on the cooling setting. The step of analyzing the set of instructions is performed before the set of instructions is executed on the at least one processor core. The step of adjusting the at least one cooling system is performed before the set of instructions is executed on the at least one processor core.
    Type: Grant
    Filed: June 21, 2012
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Robert L. Angell, David W. Cosby, Robert R. Friedlander, James R. Kraemer
  • Patent number: 8832636
    Abstract: A non-transitory, computer-readable recording medium stores therein a verification support program that causes a computer to execute identifying from a finite state machine model related to a circuit-under-test, an input count of transitions to a transition-end state and an output count of transitions from the transition-end state; determining the transition-end state to be a record/restore subject, if the identified output transition>the identified input transition count; embedding record-instruction information causing the record/restore subject to be recorded to a database, if a first element causing transition to the record/restore subject is included in a first test scenario that is in a test scenario group related to the circuit-under-test; and embedding restore-instruction information causing the record-restore subject to be restored from the database, if a second element causing transition to the record-restore subject is included in a series of elements making up a second test scenario that is in
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: September 9, 2014
    Assignee: Fujitsu Limited
    Inventors: Ryosuke Oishi, David Thach, Yutaka Tamiya
  • Patent number: 8826199
    Abstract: Methods, systems, and computer readable medium for developing a system architecture that involves defining resource constraints for kinds of resources and constraint values for optimization parameters, and defining a design space as variants, where each variant is a vector. Satisfying sets of variants are determined for optimization parameters by assigning membership values to each variant of a universe of discourse set and performing a fuzzy search of a universe of discourse set using the corresponding membership values. A set of variants is determined based on an intersection of the satisfying sets of variants. An ordered list of variants is generated by sorting the set of variants and a variant is selected based on a position of the variant in the ordered list for use in developing the system architecture.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: September 2, 2014
    Assignee: Ryerson University
    Inventors: Reza Sedaghat, Anirban Sengupta
  • Patent number: 8819602
    Abstract: Structures of a circuit are identified. Voltages are propagated to the identified structures. Additionally, internal node voltages for the identified structures are obtained. Asymmetrical operating conditions are identified.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: August 26, 2014
    Assignee: Infineon Technologies AG
    Inventor: Georg Georgakos
  • Patent number: 8819603
    Abstract: A circuit can include a plurality of storage circuits, each having a pair of first conductivity type transistor having sources commonly connected to a first node, and gates and drains cross-coupled between first and second storage node; and a pair of second conductivity type transistor having sources commonly connected to a second node, and gates and drains cross-coupled between the first and second storage node; wherein each of the second conductivity type transistors comprises a screening region of the first conductivity type formed below the channel region and has a predetermined minimum dopant concentration.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: August 26, 2014
    Assignee: Suvolta, Inc.
    Inventors: Lawrence T. Clark, Samuel Leshner
  • Patent number: 8813006
    Abstract: In one embodiment of the invention, a method for electronic circuit design is disclosed. The method includes analyzing a netlist of a subcircuit to determine one or more input pins and one or more output pins; forming an arc graph of the subcircuit including one or more timing arcs between the one or more input pins and the one or more output pins; and reducing the number of transistors to perturb to perform a sensitivity analysis for within die process variations over the one or more timing arcs to reduce the number of simulations to characterize the subcircuit.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: August 19, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Harindranath Parameswaran, Sachin Shrivastava
  • Patent number: 8813001
    Abstract: A method for use in electronic design software efficiently and optimally produces minimized or reduced register flip flop area or number of registers/flip flops in a VLSI circuit design without changing circuit timing or functionality. The method dynamically generates constraints; maintains the generated constraints as a regular tree; and incrementally relocates registers/flip flops and/or the number of registers/flip flops in the circuit design.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: August 19, 2014
    Assignee: Northwestern University
    Inventors: Hai Zhou, Jia Wang
  • Patent number: 8813004
    Abstract: An apparatus and method for visualizing faults in a circuit design includes simulating faults for a circuit design in a layout and a schematic, editing the layout and schematic to include the simulated fault, and linking the layout and schematic with the fault simulation.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: August 19, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Donald J. O'Riordan, Hao Ji, Joseph M. Swenton
  • Patent number: 8813003
    Abstract: A system and methods are disclosed for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs. In particular, rule-based interface matching is automatically performed by analyzing actual port names on instances of functional elements and blocks to form signal groupings that comprise a higher-level abstracted description. An example syntax is provided for defining rules that are used to define how various analysis are performed. Data describing standard interfaces on common Intellectual Property (IP) blocks is optionally made available to facilitate interface matching. Also, a facility is included to allow user-guided mapping on instantiated interfaces with respect to actual port names in an RTL-level design.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: August 19, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8813012
    Abstract: Self-aligned via interconnects using relaxed patterning exposure. In accordance with a first method embodiment, a method for controlling a computer-aided design (CAD) system for designing physical features of an integrated circuit includes accessing a first pattern for first metal traces on a first metal layer, accessing a second pattern for second metal traces on a second metal layer, vertically adjacent to the first metal layer and accessing a precise pattern of intended interconnections between the first and second metal traces. The precise pattern of intended interconnections is operated on to form an imprecise via pattern that indicates a plurality of general areas in which vias are allowed. The imprecise via pattern is for use in an integrated circuit manufacturing process to form, in conjunction with operations to form the first and second metal layers, a plurality of self-aligned vias for interconnecting the intended interconnections.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: August 19, 2014
    Assignee: Synopsys, Inc.
    Inventors: Michael L. Rieger, Victor Moroz
  • Patent number: 8806396
    Abstract: Disclosed is a method, system, and computer program product for performing predictions for an electronic design. Embodiments of the invention allow the ability to efficiently update the model predictions at a later time once previously incomplete blocks are completed. Predictions can be efficiently updated after block designs are updated (e.g. after correcting problems detected from model predictions).
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: August 12, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ming Liu, JenPin Weng, Taber Smith
  • Patent number: 8799684
    Abstract: An information processing device includes a plurality of processor cores each including a plurality of transistors, and at least one substrate bias circuit that supplies each of the plurality of transistors with a substrate bias voltage that is determined based on the number of the processor cores.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: August 5, 2014
    Assignee: Fujitsu Limited
    Inventor: Keisuke Muraya
  • Patent number: 8793628
    Abstract: The present patent document relates to a method and apparatus for maintaining coherency in a memory subsystem of an electronic system modeled in dual abstractions. The portions of the memory subsystem shared between the first abstraction and the second abstraction are shadowed in both abstractions, allowing either abstraction to coherently access memory written by the other. The memory subsystem can also reside solely in a first abstraction, where the second abstraction will synchronize to the first abstraction to access the memory subsystem. Flags associated with memory pages of the memory subsystem are set to indicate which abstraction has most recently updated the memory page. Prior to accessing a memory page, the system will check the flags, copying the contents of the memory in the other abstraction as needed to maintain coherency. The abstractions can operate either synchronously or asynchronously.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: July 29, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Ashutosh Varma
  • Patent number: 8793629
    Abstract: A method for designing a system to be implemented on a field programmable gate array (FPGA) includes identifying an adder from an intermediate representation of the system. Components on the target device are designated to support and implement the adder as a partitioned adder having a plurality of sub-adders each registering an intermediate result.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: July 29, 2014
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Publication number: 20140203342
    Abstract: Device structures, fabrication methods, and design structures for a capacitor of a memory cell of ferroelectric random access memory device. The capacitor may include a first electrode comprised of a first conductor, a ferroelectric layer on the first electrode, a second electrode on the ferroelectric layer, and a cap layer on an upper surface of the second electrode. The second electrode may be comprised of a second conductor, and the cap layer may have a composition that is free of titanium. The second electrode may be formed by etching a layer of a material formed on a layer of the second conductor to define a hardmask and then modifying the remaining portion of that material in the hardmask to have a comparatively less etch rate, when exposed to a chlorine-based reactive ion etch chemistry, than when initially formed.
    Type: Application
    Filed: March 26, 2014
    Publication date: July 24, 2014
    Applicant: International Business Machines Corporation
    Inventors: James E. Beecher, William J. Murphy, James S. Nakos, Bruce W. Porth
  • Patent number: 8788989
    Abstract: System and method for developing an ASIC. A software program may be stored which includes program instructions which implement a function. The software program may be executed on a processing system at a desired system speed and may be validated based on the execution. A first hardware description of at least a portion of the processing system may be stored and may specify implementation of at least a portion of the processing system. A second hardware description may be generated that corresponds to a first portion of the first hardware description. The second hardware description may specify a dedicated hardware implementation of a first portion of the software program executing on the processing system. Generation of the second hardware description may be performed one or more times to fully specify the ASIC. An ASIC may be created which implements the function of the software program.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: July 22, 2014
    Assignee: Coherent Logix, Incorporated
    Inventor: Tommy K. Eng
  • Patent number: 8788990
    Abstract: Method, apparatus and system for finding instances of a pattern in a main netlist include reading in the main netlist and the pattern that is used for finding pattern matches in the main netlist. The main netlist and the pattern include a plurality of vertices. Each of the vertices is a device or a net. Labels for the vertices are computed in both the pattern and the main netlist up to a depth appropriate for the pattern. A vertex of the pattern is identified and used in matching with one or more vertices in the main netlist at the depth appropriate for the pattern using the computed labels. The computed labels for each of the vertices of the main netlist are stored for possible reuse in subsequent pattern matches.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: July 22, 2014
    Assignee: Oracle America, Inc.
    Inventor: Douglas C. Meserve
  • Patent number: 8788996
    Abstract: The present invention systems and methods enable configuration of functional components in integrated circuits. A present invention system and method can flexibly change the operational characteristics of functional components in an integrated circuit die based upon a variety of factors. In one embodiment, manufacturing yields, compatibility characteristics, performance requirements, and system health (e.g., the number of components operating properly) are factored into changes to the operational characteristics of functional components. In one exemplary implementation, the changes to operational characteristics of a functional component are coordinated with changes to other functional components. Workflow scheduling and distribution is also adjusted based upon the changes to the operational characteristics of the functional components. For example, a functional component configuration controller changes the operational characteristics settings and provides an indication to a workflow distribution component.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: July 22, 2014
    Assignee: Nvidia Corporation
    Inventors: Michael B. Diamond, John S. Montrym, James M. Van Dyke, Michael B. Nagy, Sean J. Treichler
  • Patent number: 8788985
    Abstract: An electric design automation (EDA) tool for generating a design of a system on a field programmable gate array (FPGA) includes a library that includes a processor interface block selectable by a designer to represent a component in the design that is assessable to a processor. The EDA tool also includes a processor interface circuitry generation unit to automatically generate circuitry in the design to support the processor interface block without input from the designer.
    Type: Grant
    Filed: February 12, 2013
    Date of Patent: July 22, 2014
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8782593
    Abstract: A method includes retrieving a first component information of a secured portion of a package, wherein the first component information is encrypted. The step of retrieving includes decrypting the first component information. A thermal resistance-network (R-network) is generated from the decrypted first component information. A temperature map of the package is generated using the thermal R-network and a second component information of an unsecured portion of the package, wherein the secured portion and the unsecured portion are bonded to each other.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Wan-Yu Lo, Meng-Fu You, Po-Hsiang Huang, Cheng-Chieh Hsieh
  • Patent number: 8782577
    Abstract: Disclosed are a method, system, and computer program product for providing customizable information in designing electronic circuits with electrical awareness. The method or the system displays a portion of a physical design of an electronic circuit in a first display area. The method or the system receives or identifies a user's or a system's manipulation of the portion of the physical design of the electronic circuit. The method or the system then determines and displays an in situ response to the manipulation in the first display area. The method or the system may further display, in the first display area or in another display area, result(s) relating to the physical data of a component, electrical parasitic(s) associated with the physical data, electrical characteristic(s) associated with the physical data or the electrical characteristic(s), or other element(s) of the physical design that is impacted by the manipulation.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: July 15, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ed Fischer, David White, Michael McSherry, Bruce Yanagida, Vance Kenzle
  • Patent number: 8782594
    Abstract: A method is disclosed for structuring a function plan into function plan sections. The function plan includes function modules. Individual function modules are connected to at least one other function module of at least one function module connection. If the function plan exceeds the predefined area of the function plan section, a first determination of the arising function module external connections in an assignment of the individual function modules to the individual function plan sections occurs for each function plan variant, and the individual function modules are assigned to the function plan sections according to the function plan variant having the least possible number of function module external connections.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: July 15, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventor: Andre Turnaus
  • Patent number: 8782590
    Abstract: A system for an integrated circuit comprising a plurality of power islands includes a first power manager and a second power manager. The first power manager manages a first power consumption for the integrated circuit based on needs and operation of the integrated circuit. The second power manager communicates with the first power manager and manages a second power consumption for one of the power islands.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: July 15, 2014
    Assignee: Conversant Intellectual Property Management Inc.
    Inventors: Barry Alan Hoberman, Daniel L. Hillman, Jon Shiell
  • Patent number: 8775984
    Abstract: Phase-coherent differential structures contain a phase-coherent transformer having two pairs of phase-coherent coupled differential inductors.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: July 8, 2014
    Assignee: The Regents of the University of California
    Inventors: Mau-Chung Frank Chang, Daquan Huang
  • Patent number: 8776000
    Abstract: A method of implementing timing ECO in a circuit includes the steps of performing a static timing analysis on the circuit so as to determine at least one timing violating path of the circuit, decomposing the timing violating path into at least one violating path segment, determining a smooth curve from each timing violating path and determining a plurality of reference points along the smooth curve, computing a fixability parameter of each gate on the violating path segment, extracting at least one gate according to the fixability parameters, and selecting one spare cell and disposing the selected spare cell on the violating path segment.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: July 8, 2014
    Assignee: National Chiao Tung University
    Inventors: Hua-Yu Chang, Hui-Ru Jiang, Yao-Wen Chang
  • Patent number: 8776006
    Abstract: Aspects of the invention provide for a method of delay defect testing in integrated circuits. In one embodiment, the method includes: generating at least one test pattern based on a transition fault model type; evaluating a dynamic voltage drop for the at least one pattern during a capture cycle and generating a voltage drop value for the at least one test pattern; performing a static timing analysis, using the voltage drop value for the at least one test pattern; evaluating a plurality of paths in the at least one pattern; and masking each path that fails to meet a timing requirement.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Raghu G. Gopalakrishnasetty, Thamaraiselvan Subramani, Balaji Upputuri
  • Patent number: 8775979
    Abstract: The use of design rule checks for failure analysis of semiconductor chips is described. The smaller geometries of recent semiconductor devices lead to a much higher level of sensitivity of devices to photolithography related systematic problems. Failure analysis to date has focused on physical, randomly distributed defects of devices rather than systematic problems caused by the mask manufacturing or mask application process. Methods and systems are described which allow for online searches of a layout database for geometric features defined by a set of rules. The rules may be defined as two-dimensional Boolean operations including shape or distance based as well as any kind of combination. The result is graphically and interactively presented.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: July 8, 2014
    Assignee: Synopsys. Inc.
    Inventor: Ankush Oberai
  • Patent number: 8766663
    Abstract: A method and circuit for implementing calibration of a linearly weighted, thermal coded I/O driver output stage, and a design structure on which the subject circuit resides are provided. The circuit includes a PFET calibration impedance matching function determining calibration PVTP bits for calibrating output stage PFETs of the linearly weighted, thermal coded I/O driver output stage, an NFET calibration impedance matching function determining calibration bits PVTN for calibrating output stage NFETs of the linearly weighted, thermal coded I/O driver output stage once the PFET calibration is complete and an output latch function providing the calibration PVTP and PVTN outputs for the I/O driver output stage to match an impedance of an external calibration resistor. A clock logic function generates an output latch clock and an internal reset signal completing calibration.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: David J. Chen, William F. Lawson
  • Patent number: 8769466
    Abstract: The disclosed method includes: identifying a first reference component from among first components defined in a first constraint condition that is a reference designated from among constraint conditions regarding a position relationship between plural components on a printed circuit board; identifying a second reference component from among second components defined in a second constraint condition that is to be compared with the first constraint condition and included in the constraint conditions; and identifying a fourth component that is a component other than the second reference component among the second components and has a correspondence with a third component, based on position relationships with the third component and an attribute of the third component, wherein the third component is a component other than the first reference component among the first components.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: July 1, 2014
    Assignee: Fujitsu Limited
    Inventor: Yuji Baba
  • Patent number: 8769448
    Abstract: In one embodiment, a method is provided for processing a circuit design having first and second sets of ports configured to couple to respective first and second sets of ports of a device on a hardware platform. In a data-acquisition mode, the circuit design is simulated using a user-selectable plug-in that couples the ports of the circuit design to an interface circuit. During the simulation, the interface circuit communicates data between respective ports of the circuit design and ports of the device. In a deployment mode, the circuit design is implemented in the hardware platform, in which the first and second sets of ports of the circuit design are respectively coupled to the first and second sets of ports of the device.
    Type: Grant
    Filed: January 23, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Arvind Sundararajan, Nabeel Shirazi, Sean P. Caffee
  • Patent number: 8763240
    Abstract: A fabricating process for a multi-layer printed circuit board containing embedded passive components is provided. The method includes a calibration step wherein a calibration measurement is taken of the geometry or at least one electrical parameter of an arrangement of calibration test points for a circuit forming process, such as masking, etching and/or lamination. A process control step is performed during the process, wherein a process control measurement is taken of at least one electrical parameter at one or more process control test points along one or more axes outside areas in which a circuit is to be formed. An analysis is performed of at least the calibration measurement and the process control measurement to calculate a CAD geometry change required to improve precision of embedded passive components to be printed on the multi-layer printed circuit board.
    Type: Grant
    Filed: July 5, 2011
    Date of Patent: July 1, 2014
    Assignee: TFRI, Inc.
    Inventors: Lendon L. Bendix, Derek A. Turner
  • Patent number: 8769447
    Abstract: Apparatus and method for designing an electrical component including a processor and a user interface, enabling a user to input a desired characteristic of the electrical component, such as inductance or quality factor at an operating frequency for an integrated spiral inductor.
    Type: Grant
    Filed: October 7, 2009
    Date of Patent: July 1, 2014
    Assignee: Helic S. A.
    Inventors: Sotirios Bantas, Paschalis Zampoukis
  • Patent number: 8762923
    Abstract: A system for an integrated circuit comprising a plurality of power islands includes a first power manager and a second power manager. The first power manager manages a first power consumption for the integrated circuit based on needs and operation of the integrated circuit. The second power manager communicates with the first power manager and manages a second power consumption for one of the power islands.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: June 24, 2014
    Assignee: Conversant Intellectual Property Management Inc.
    Inventors: Barry Alan Hoberman, Daniel L. Hillman, Jon Shiell
  • Patent number: 8762905
    Abstract: Methods and systems for determining a numerical delay model based on one or more discretized delay models are described. A discretized delay model is a delay model in which the delay behavior is represented using a set of discrete data points of delay behavior. A numerical delay model is a delay model that can be used by a numerical solver to optimize a cost function. In general, computing delay using a numerical delay model is significantly faster than computing delay using discretized delay models. This performance improvement is important when optimizing a design for various metrics like timing, area and leakage power, because repeated delay computations are required in circuit optimization approaches.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: June 24, 2014
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez
  • Patent number: 8756537
    Abstract: A method for manufacturing an electronic device is disclosed. A design description of the electronic device is generated using one or more computer aided design tools. Physical device data are generated that represent a physical description of the electronic device, which includes data determining connection points for connecting the electronic device to one or more external circuits. A physical embodiment of the electronic device is produced in accordance with the physical device data. Physical test member data is determined that represents conductors and contact points of a test member for testing the electronic device. The test member is produced in accordance with the test member data. The electronic device is tested with the test member.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: June 17, 2014
    Assignee: Microconnect Corp.
    Inventors: J. Lynn Saunders, Alan R. Loudermilk
  • Patent number: 8756539
    Abstract: Maintaining a netlist while editing a circuit diagram. The circuit diagram may be displayed on a display. The circuit diagram may include a plurality of electronic components connected by nets and may also include modular block(s) which represent a circuit portion in a hierarchical fashion. A global netlist may be stored that includes information regarding the nets of the circuit diagram. User input may be received which modifies the circuit diagram. Accordingly, the global netlist may be updated in response to the user input modifying the circuit diagram. The circuit diagram may be updated on the display based on updating the global netlist. Receiving the user input and updating the global netlist and circuit diagram may be performed a plurality of times, in a dynamic fashion during edit time.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: June 17, 2014
    Assignee: National Instruments Corporation
    Inventors: B. Alexander Elliott, Rodney A. J. Draaisma
  • Patent number: 8751976
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) method. The method includes building a pattern bank including a pattern having an area of interest. The method further includes recognizing that the pattern of the pattern bank corresponds to a pattern of an IC design layout. The method further includes identifying an area of interest of the pattern of the IC design layout that corresponds to the area of interest of the pattern of the pattern bank. The method further includes performing pattern recognition dissection on the area of interest of the pattern of the IC design layout to dissect the area of interest of the pattern of the IC design layout into a plurality of segments. The method further includes after performing pattern recognition dissection, producing a modified IC design layout.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: June 10, 2014
    Inventors: Cheng-Lung Tsai, Jui-Hsuan Feng, Sheng-Wen Lin, Wen-Li Cheng, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8739102
    Abstract: A method for designing a system to be implemented on a target device includes generating a register transfer language (RTL) representation of the system from a description of the system without pipelined delays. The RTL representation of the system includes pipelined delays to facilitate timing of the system as implemented on a target device identified by a designer.
    Type: Grant
    Filed: May 9, 2013
    Date of Patent: May 27, 2014
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8739096
    Abstract: Micro-electro-mechanical structure (MEMS) capacitor devices, capacitor trimming for MEMS capacitor devices, and design structures are disclosed. The method includes identifying a process variation related to a formation of micro-electro-mechanical structure (MEMS) capacitor devices across a substrate. The method further includes providing design offsets or process offsets in electrode areas of the MEMS capacitor devices across the substrate, based on the identified process variation.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Christopher V. Jahnes, Anthony K. Stamper
  • Patent number: 8739094
    Abstract: A method of estimating power consumption of an electronic device is performed by a processing device. The estimating includes estimating a power consumption of a gate-level implementation of an electronic device design. The estimating further includes independently calculating for each of a plurality of implementation-invariant nodes of the design an incremental power dissipation associated with that node.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: May 27, 2014
    Assignee: LSI Corporation
    Inventors: Martin Fennell, James Monthie, Iain Stickland
  • Patent number: 8732635
    Abstract: A programmable logic device (PLD) includes a non-volatile memory, a configuration memory, and a control circuitry. The control circuitry couples to the non-volatile memory and to the configuration memory. A set of voltages are derived from the outputs of the control circuitry, and are applied to circuitry within the PLD.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: David Lewis, Christopher F. Lane, Sarathy Sribhashyam, Srinivas Perisetty, Tim Vanderhoek, Vaughn Betz, Thomas Yau-Tsun Wong, Andy L. Lee
  • Patent number: 8729922
    Abstract: Methods and apparatuses for enforcing terms of a licensing agreement between a plurality of parties involved in a particular hardware design through the use of hardware technologies. According to one embodiment, a hardware sub-design includes a license verification sub-design that is protected from user modification by encryption. In one embodiment, a license is generated based on a trusted host identifier within an external hardware device. In one embodiment, each trusted host identifier is unique, and no two integrated circuits share the same trusted host identifier. In another embodiment, the integrated circuit is a field programmable gate array or an application specific integrated circuit. In one embodiment, a license determines how long the hardware sub-design will operate when the hardware sub-design is implemented within an integrated circuit having a trusted host identifier.
    Type: Grant
    Filed: July 11, 2011
    Date of Patent: May 20, 2014
    Assignee: Synopsys, Inc.
    Inventor: Kenneth S. McElvain