Integrated Circuit Design Processing Patents (Class 716/100)
  • Patent number: 8732644
    Abstract: The present invention systems and methods enable configuration of functional components in integrated circuits. A present invention system and method utilizes micro electro-mechanical switches included in pathways of an integrated circuit to flexibly change the operational characteristics of functional components in an integrated circuit die based upon a variety of factors including power conservation, manufacturing defects, compatibility characteristics, performance requirements, and system health (e.g., the number of components operating properly). The micro electro-mechanical switches are selectively opened and closed to permit and prevent electrical current flow to and from functional components. Opening the micro electro-mechanical switches also enables power conservation by facilitating isolation of a component and minimization of impacts associated with leakage currents.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: May 20, 2014
    Assignee: Nvidia Corporation
    Inventor: Michael B. Diamond
  • Patent number: 8732633
    Abstract: Disclosed are a method, non-transitory medium, and system of a tunable design of an Ethernet region of an integrated circuit (IC). In one embodiment, a method comprises modeling a design abstraction of an Ethernet sub-circuit of an integrated circuit as a register transfer level (RTL) code within a data processing device, wherein a first stage of sequential logic in the RTL code is associated with a first stage of combinational logic in the RTL code. The method further comprises implementing, through a processor and based on a timing parameter input into a synthesis tool associated with the RTL code, a selective bypass or a selective enablement of the first stage of sequential logic. Still further, the method comprises synthesizing, through the processor, a netlist from the RTL code, wherein the first stage of sequential logic is sequentially bypassed or sequentially enabled.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: May 20, 2014
    Assignee: Tamba Networks, Inc.
    Inventors: Soren Pedersen, Nad Karim, Rony Kurniawan
  • Patent number: 8726203
    Abstract: A method and system for generating a test bench for testing a requirement is described. According to an embodiment, a test bench generator subsystem automatically chooses a test template based on a user specification of a requirement to be tested. The requirement is automatically associated with information such as parameters, context identifiers, and success criteria. The subsystem automatically generates a test bench data construct for a simulation that will test the requirement and evaluate success or failure. In an embodiment, generating the test bench includes automatically choosing a system model for the test bench.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: May 13, 2014
    Assignee: Cydesign, Inc.
    Inventors: Serdar Uckun, William Benjamin Schaefer, IV, Mark Flanagan Ward, Kerry Ryan Poppa, Michael Theodore Koopmans, Mark Edwin Drummond, Lee Travis Bergmann Johnson
  • Patent number: 8719764
    Abstract: Techniques are provided to allow users of Electronic Design Automation (EDA) tools to define constraint template types for efficiently associating constraints with design objects to specify design intent. Processes for creating and validating the constraints are provided by user-defined plug-ins, thereby allowing users to annotate circuit designs with constraints for proprietary layout and fabrication processes without exposing the constraints to tool vendors or competitors. The constraints are re-created from the template types whenever the circuit design is loaded into the EDA tool, so that the constraints are based upon the latest version of the template type. The constraints are also re-created when validation fails. Constraint groups are reusable in different designs, technology nodes, and processes because they automatically expand to provide the specific constraints to achieve a goal.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: May 6, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: George B. Arsintescu
  • Patent number: 8712572
    Abstract: A challenge to be met by the invention is to provide an electronic component mounting machine that makes up an electronic component mounting line and that standardizes an operation input method, to thus enable lessening of work load on an operator during performance of operation input action, and an operation instruction method for use with the electronic component mounting machine. In electronic component mounting machines that make it possible for a single machine to perform a plurality of types of works by replacement of a work head to be built into a common platform according to a type of work, a production start button to a model change button are provided as common individual input parts in a basic operation command input part of a display panel of an operation unit regardless of a work type.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 29, 2014
    Assignee: Panasonic Corporation
    Inventors: Noboru Higashi, Masahiro Kihara, Kazuo Okamoto, Hidehiko Watanabe, Kenichi Kaida, Hideki Sumi, Michiaki Mawatari
  • Patent number: 8713510
    Abstract: Roughly described, a method for approximating stress-induced mobility enhancement in a channel region in an integrated circuit layout, including approximating the stress at each of a plurality of sample points in the channel, converting the stress approximation at each of the sample points to a respective mobility enhancement value, and averaging the mobility enhancement values at all the sample points. The method enables integrated circuit stress analysis that takes into account stresses contributed by multiple stress generation mechanisms, stresses having vector components other than along the length of the channel, and stress contributions (including mitigations) due to the presence of other structures in the neighborhood of the channel region under study, other than the nearest STI interfaces. The method also enables stress analysis of large layout regions and even full-chip layouts, without incurring the computation costs of a full TCAD simulation.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: April 29, 2014
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Dipankar Pramanik
  • Patent number: 8713512
    Abstract: The present invention relates to a system for programming domestic appliances and a method for programming assembly-line programmable domestic appliances, especially a system wherein programming occurs without the physical connection between a transmission unit and a reprogrammable processor present in a processing unit integrated into the domestic appliance. The system for programming domestic appliances comprises a remote programming unit (UR) and a reprogrammable processor (PR) present in a processing unit (UP) integrated into the domestic appliance (EN), the remote programming unit (UR) being provided with a data transmission device (TUR) and the reprogrammable processor (PR) present in a processing unit (UP) being provided with a data receiving device (RUP), and the data transmission and reception devices (TUR, RUP) are based on a magnetic field.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: April 29, 2014
    Assignee: Whirlpool S.A.
    Inventors: Marcos Guilherme Schwarz, Carlos Alberto Teixeira, Marcelo Zanelato, Lucas Mondardo Cunico
  • Patent number: 8713492
    Abstract: There is provided a data processing apparatus (1) including a logic circuit (10) that is reconfigurable in each cycle and a library (2) that stores hardware control information (20). The hardware control information (20) includes a plurality of pieces of cycle-based mapping information (21) for individually mapping a plurality of cycle-based circuits, which each realize a function in each cycle for executing an application, onto the logic circuit (10) and configuration selection information (22) for selecting at least one of the plurality of pieces of cycle-based mapping information according to an execution state of the application. The data processing apparatus (1) includes a control unit (11) that reconfigures at least part of the logic region (10) using at least one of the plurality of pieces of cycle-based mapping information (21) according to a request in each cycle based on the configuration selection information (22).
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: April 29, 2014
    Assignee: Fuji Xerox Co., Ltd.
    Inventor: Hiroki Honda
  • Publication number: 20140112060
    Abstract: An SRAM includes a global bit line, an SRAM cell, precharge logic, discharge logic, and sense logic. The SRAM cell stores a first logical value or a second logic value and is coupled to the global bit line. The precharge logic may charge the global bit line to a precharge voltage for a non-read operation and a boosted voltage that is greater than a reference voltage for a read operation. The discharge logic may either maintain the global bit line at the boosted voltage for the first logical value or discharge the global bit line to a discharge voltage that is less than the reference voltage for the second logical value. The sense logic may output the first logical value when the global bit line has the boosted voltage or may output the second logical value when the global bit line has the discharge voltage.
    Type: Application
    Filed: October 18, 2012
    Publication date: April 24, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chad A. Adams, Sharon H. Cesky, Elizabeth L. Gerhard, Jeffrey M. Scherer
  • Patent number: 8707228
    Abstract: Disclosed are improved methods, systems, and computer program products for implementing flexible models to perform efficient prototyping of electronic designs, which allows for very efficient analysis of the electronic designs. The flexible models allow many of the existing tools for designing electronics to perform more efficiently.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: April 22, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Paul W. Kollaritsch, Ping-Chih Wu
  • Patent number: 8701068
    Abstract: An integrated circuit (IC) comprising a shielding mesh in at least one layer of the IC, the shielding mesh having a first plurality of lines which are designed to provide a first reference voltage and having a second plurality of lines which are designed to provide a second reference voltage and wherein the shielding mesh comprises a window in which signal lines are routed with less shielding than signal lines which are routed in the shielding mesh. The IC further comprising power supply lines in at least a first layer of the IC, the first layer being different than the at least one layer which contains the shielding mesh, the power supply lines being coupled to the shielding mesh and being larger in width than the first plurality of lines and the second plurality of lines.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: April 15, 2014
    Assignee: Synopsys, Inc.
    Inventors: Kenneth S. McElvain, William Halpin
  • Patent number: 8694931
    Abstract: In one embodiment of the invention, a method is disclosed including receiving a netlist of an integrated circuit design; executing a first copy of an integrated circuit design program with a first processor associated with a first memory space to independently perform work on a first portion of the integrated circuit design; and executing a second copy of the integrated circuit design program with a second processor associated with a second memory space to independently perform work on a second portion of the integrated circuit design; wherein the second memory space is independent of the first memory space.
    Type: Grant
    Filed: February 20, 2011
    Date of Patent: April 8, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Denis Baylor
  • Publication number: 20140092672
    Abstract: A domino static random access memory (SRAM) having one or more SRAM memory cells connected with a local bit line is disclosed. The SRAM may include a global bit line, a first precharge device connected between a voltage supply and the local bit line, and a second precharge device connected between the voltage supply and the global bit line. In addition the SRAM may include a global bit line discharge logic connected with the global bit line and the local bit line. The global bit line discharge logic is adapted to draw the global bit line to a voltage below a precharge voltage and above a ground voltage during a read operation.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Applicant: International Business Machines Corporation
    Inventors: Derick G. Behrends, Todd A. Christensen, Travis R. Hebig, Michael Launsbach
  • Patent number: 8689069
    Abstract: Disclosed are representative examples of methods, apparatus, and systems for generating test patterns targeting multiple faults using Boolean Satisfiability (SAT)-based test pattern generation methods. A SAT instance is constructed based on the circuit design information and a set of faults being targeted. A SAT solving engine is applied to the SAT instance to search for a test pattern for detecting the set of faults. The SAT instance or the SAT solving engine may be modified so that the SAT solving engine will search for a test pattern for detecting a maximum number of faults in the set of faults.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: April 1, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Rene Krenz-Baath, Andreas Glowatz, Friedrich Hapke
  • Patent number: 8689154
    Abstract: An approach for providing timing-closed FinFET designs from planar designs is disclosed. Embodiments include: receiving one or more planar cells associated with a planar design; generating an initial FinFET design corresponding to the planar design based on the planar cells and a FinFET model; and processing the initial FinFET design to provide a timing-closed FinFET design. Other embodiments include: determining a race condition associated with a path of the initial FinFET design based on a timing analysis of the initial FinFET design; and increasing delay associated with the path to resolve hold violations associated with the race condition, wherein the processing of the initial FinFET design is based on the delay increase.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: April 1, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Mahbub Rashed, David Doman, Dinesh Somasekhar, Yan Wang, Yunfei Deng, Navneet Jain, Jongwook Kye, Ali Keshavarzi, Subramani Kengeri, Suresh Venkatesan
  • Patent number: 8689153
    Abstract: A method for importing a design in hardware description language (HDL) into a system level design tool includes setting a sampling time. The simulation model template is generated with the sampling time according to a selected simulation model type.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: April 1, 2014
    Assignee: Altera Corporation
    Inventor: Hong Shan Neoh
  • Patent number: 8689169
    Abstract: Disclosed are a method, system, and computer program product for providing customizable information in designing electronic circuits with electrical awareness. The method or the system displays a portion of a physical design of an electronic circuit in a first display area. The method or the system receives or identifies a user's or a system's manipulation of the portion of the physical design of the electronic circuit. The method or the system then determines and displays an in situ response to the manipulation in the first display area. The method or the system may further display, in the first display area or in another display area, result(s) relating to the physical data of a component, electrical parasitic(s) associated with the physical data, electrical characteristic(s) associated with the physical data or the electrical characteristic(s), or other element(s) of the physical design that is impacted by the manipulation.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: April 1, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ed Fischer, David White, Michael McSherry, Bruce Yanagida
  • Publication number: 20140084352
    Abstract: Device structures, fabrication methods, and design structures for a capacitor of a memory cell of ferroelectric random access memory device. The capacitor may include a first electrode comprised of a first conductor, a ferroelectric layer on the first electrode, a second electrode on the ferroelectric layer, and a cap layer on an upper surface of the second electrode. The second electrode may be comprised of a second conductor, and the cap layer may have a composition that is free of titanium. The second electrode may be formed by etching a layer of a material formed on a layer of the second conductor to define a hardmask and then modifying the remaining portion of that material in the hardmask to have a comparatively less etch rate, when exposed to a chlorine-based reactive ion etch chemistry, than when initially formed.
    Type: Application
    Filed: September 27, 2012
    Publication date: March 27, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James E. Beecher, William J. Murphy, James S. Nakos, Bruce W. Porth
  • Patent number: 8683403
    Abstract: A power circuit analysis apparatus includes a segmentation unit that segments an analysis target region in a power circuit included in an analysis target circuit into a plurality of segmented regions, and an analysis unit that outputs an analysis result of the power circuit with respect to each of the plurality of segmented regions on a basis of a consumption current value in the segmented region and a number of via holes formed in each interlayer connecting power line wirings in upper and lower layers to each other in the segmented region.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Limited
    Inventors: Miki Terabe, Yasuo Amano
  • Patent number: 8683399
    Abstract: A timing constraint generating support apparatus includes a propagation unit that propagates, through a wire connecting the logic circuits, timing constraints set for the logic circuits by using circuit information that represents information relating to the logic circuits and connection information that represents information of the wire, a determination unit that determines whether or not a plurality of timing constraints different from each other are propagated through the wire by the propagation unit, and an output unit that outputs information representing that the timing constraints propagated through the wire overlap each other in a case where the plurality of timing constraints different from each other are determined to be propagated through the wire by the determination unit.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Limited
    Inventor: Tomohiro Hosoda
  • Patent number: 8683420
    Abstract: A method and system of improved reliability testing includes providing a first substrate and a second substrate, each substrate comprising only a first metallization layer; processing regions on a first substrate by combinatorially varying at least one of materials, unit processes, and process sequences; performing a first reliability test on the processed regions on the first substrate to generate first results; processing regions on a second substrate in a combinatorial manner by varying at least one of materials, unit processes, and process sequences based on the first results of the first reliability test; performing a second reliability test on the processed regions on the second substrate to generate second results; and determining whether the first substrate and the second substrate meet a predetermined quality threshold based on the second results.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: March 25, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Yun Wang, Tony P. Chiang, Ryan Clarke, Chi-I Lang, Yoram Schwarz
  • Patent number: 8683415
    Abstract: A disclosed method includes: accepting designation of a condition of grouping plural signal lines to be wired from a user; and switching and carrying out a grouping of the plural signal lines into plural groups based on the designated condition and a disposition pattern of start terminals and end terminals of the plural signal lines. The condition may be designated from a first requirement, a second requirement and a third requirement that includes the first requirement and the second requirement and in which a priority is set to the first requirement or the second requirement.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Limited
    Inventors: Yoshitaka Nishio, Motoyuki Tanisho
  • Patent number: 8677304
    Abstract: A task-based multi-process design synthesis methodology relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. The child processes then notify the parent process of those objects that qualify as candidate objects, so that the parent process only has to perform the transform on the candidate objects, thereby relieving the parent process from the overhead associated with performing the transform on non-candidate objects for which the transform has been determined by the child processes as not being successful.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: March 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Anthony D. Drumm, Jagannathan Narasimhan, Lakshmi N. Reddy, Louise H. Trevillyan, Brian C. Wilson
  • Patent number: 8677295
    Abstract: The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: March 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Patent number: 8671372
    Abstract: A verification support program that causes a computer to execute identifying from a finite state machine model related to a circuit-under-test, an input count of transitions to a transition-end state and an output count of transitions from the transition-end state; determining the transition-end state to be a record/restore subject, if the identified output transition is greater than the identified input transition count; embedding record-instruction information causing the record/restore subject to be recorded to a database, if a first element causing transition to the record/restore subject is included in a first test scenario that is in a test scenario group related to the circuit-under-test; and embedding restore-instruction information causing the record-restore subject to be restored from the database, if a second element causing transition to the record-restore subject is included in a series of elements making up a second test scenario that is in the test scenario group.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: March 11, 2014
    Assignee: Fujitsu Limited
    Inventors: Ryosuke Oishi, David Thach, Yutaka Tamiya
  • Patent number: 8671369
    Abstract: Techniques for determining and a computing device configured to determine a quantum Karnaugh map through decomposing a quantum circuit into a multiple number of sub-circuits are provided. Also, techniques for obtaining and a computing device configured to obtain a quantum circuit which includes the minimum number of gates among possible quantum circuits corresponding to a quantum Karnaugh map are also provided.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: March 11, 2014
    Assignee: University of Seoul Industry Cooperation Foundation
    Inventor: Doyeol Ahn
  • Patent number: 8671370
    Abstract: Software for designing and testing types of nanoelectronic circuits and larger scale electronics renderings is described. The software designs circuits comprising only a chain/leapfrog topology. The chain/leapfrog topology permits a wide range of circuits and circuit modules to be implemented on a common shared carbon nanotube, graphene nanoribbon, or strips of other types of semiconducting material, for example as rendered in traditional printed electronics and nanoscale printed electronics or as employing semiconducting polymers. In one approach a chain/leapfrog topology circuit design software tool accesses information in a library of chain/leapfrog circuits data, and creates descriptive data pertaining to a number of approaches to rendering electronics components using a library of component data. The chain/leapfrog circuits data library includes designs for a number of different types of chain/leapfrog circuit modules.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: March 11, 2014
    Assignee: Pike Group LLC
    Inventor: Lester F. Ludwig
  • Publication number: 20140063986
    Abstract: An SRAM includes a first SRAM column having first SRAM cells and a first local evaluation logic coupled to a global bit line and a second SRAM column having second SRAM cells and a second local evaluation logic coupled to the same global bit line. The first SRAM column is selected with a first write line and the second SRAM column is selected with a second write line.
    Type: Application
    Filed: September 6, 2012
    Publication date: March 6, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Peter T. Freiburger, Travis R. Hebig
  • Patent number: 8667434
    Abstract: A system, method and computer program product are provided for altering a hardware description based on an instruction file. In use, a hardware description is identified. Additionally, the hardware description is analyzed. Further, an instruction file is created based on the analysis. Moreover, the hardware is altered based on the instruction file.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: March 4, 2014
    Assignee: Calypto Design Systems, Inc.
    Inventors: Victor Kim, Niloy Das, Raghvendra K. Singh
  • Patent number: 8667455
    Abstract: A system or technique provides for a hierarchical visual-based analysis of electrical integrated circuit system simulation results. A 3D visualization may be used to identify and conduct an analysis of the integrated circuit. An analysis is done on a specific feature of the integrated circuit that is visible in the 3D visualization. The specific feature may be one that is obscured by other layers of the integrated circuit visualization.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: March 4, 2014
    Assignee: Worldwide Pro Ltd.
    Inventor: William Wai Yan Ho
  • Publication number: 20140054728
    Abstract: Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming at least one Micro-Electro-Mechanical System (MEMS) cavity. The method for forming the cavity further includes forming at least one first vent hole of a first dimension which is sized to avoid or minimize material deposition on a beam structure during sealing processes. The method for forming the cavity further includes forming at least one second vent hole of a second dimension, larger than the first dimension.
    Type: Application
    Filed: August 22, 2012
    Publication date: February 27, 2014
    Applicants: WISPRY, INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeffrey C. Maling, Anthony K. Stamper, Dana R. DeReus, Arthur S. Morris, III
  • Patent number: 8661402
    Abstract: A method to create an integrated circuit that includes digital and analog components comprising: displaying on a computer system display, user input to the computer system that specifies parameter information to determine a binding between an analog circuit design component and a digital circuit design component; saving the user specified parameter information within a file that also specifies at least a portion of the analog circuit design; associating the analog circuit design component a first design block of an integrated circuit that also includes a second digital design block coupled to the first design block; using parameter information to determine a binding between the first analog circuit design component and the first digital circuit design component; saving the determined binding in computer readable storage media.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: February 25, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Pranav Bhushan, Chandrashekar L. Chetput, Timothy Martin O'Leary
  • Patent number: 8661399
    Abstract: Aspects of the invention provide for creating a built-in-self-test (BIST) organizational file for an integrated circuit (IC) chip. In one embodiment, a method includes: receiving a design file including a hierarchy of memory modules, each module including a plurality of memory wrappers; scanning each memory wrapper in each hierarchical level of memory modules for a BIST type; creating, based on the hierarchical level and the BIST type, an ordered list of memory wrappers; adding, based on the BIST type, a BIST engine for each memory wrapper listed in the ordered list; and adding a plurality of references statements to the ordered list to create the BIST organizational file.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Craig M. Monroe, Michael R. Ouellette, Douglas E. Sprague
  • Patent number: 8661401
    Abstract: A design tool provides interactive graphical pin assignment. In one embodiment, the design tool identifies layout restrictions of a configurable processing device that includes a plurality of pins. The design tool further provides an interactive visual representation of a pin assignment that accommodates the layout restrictions and a user input.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: February 25, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Y. Ogami, Doug Anderson
  • Patent number: 8656335
    Abstract: A system and several methods for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs, is provided. In particular, interface matching based on connectivity propagation is automatically performed whereby port names and properties on instances of functional elements and blocks are propagated to top level design ports as well as other instances of functional elements and blocks to create a more robust description of connectivity according to the RTL netlist, and to automatically form signal groupings that comprise a higher-level abstracted description. Also, a facility is included to allow user-guided grouping of instantiated interfaces with respect to actual signal names and properties in an RTL-level design.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8656325
    Abstract: Disclosed is an integrated circuit design method that determines maximum direct currents for metal components and uses them as design constraints in the design flow in order to avoid/minimize electromigration failures. Short and long metal components are treated differently for purposes of establishing the design constraints. For a short metal component, the maximum direct current as a function of a given temperature for a given expected lifetime of the integrated circuit is determined, another maximum direct current is determined based on the Blech length, and the higher of these two is selected and used as the design constraint for that short metal component. For a long metal component, only the maximum direct current as a function of the given temperature for the given expected lifetime is determined and used as the design constraint. Also disclosed herein are associated system and program storage device embodiments for designing an integrated circuit.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: John E. Barwin, Amol A. Joshi, Baozhen Li, Michael R. Ouellette
  • Patent number: 8656324
    Abstract: A circuit design system, methodology, and software are disclosed for generating circuit capable of consuming less dynamic power. In particular, the circuit design methodology entails modifying an initial circuit design including a clock network coupled to a plurality of edge-triggered flip-flops to generate a modified circuit design that uses pulsed latches driven by pulse generators in place of at least some of the flip-flops. Since pulsed latches use less dynamic power than edge-triggered flip-flops, the modified circuit may consume less dynamic power. The circuit design methodology may further entail adding delay cells for balancing the clock network to compensate for timing effects caused by the insertion of pulse generators. Additionally, the methodology may further include cloning of forbidden clock paths to make more flip-flops eligible for pulsed latch replacement.
    Type: Grant
    Filed: December 4, 2011
    Date of Patent: February 18, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hung-Chun Li, Ming-Chyuan Chen, KunMing Ho
  • Patent number: 8650515
    Abstract: Systems and methods for validating a circuit design are described. The circuit validation includes determining a subset of checks to apply to a portion of the overall circuit based on the pin type composition of the circuit portion.
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: February 11, 2014
    Assignee: Valydate, Inc.
    Inventors: Michael Alam, Peter Campbell, Mark Cianfaglione
  • Patent number: 8650522
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for example, circuit design information indicative of a first inductor and a second inductor is received. A dipole moment associated with the first inductor is determined, where the magnetic field associated with the dipole moment is representative of magnetic fields created by respective turns in the first inductor. A mutual inductance between the first inductor and the second inductor is determined by determining a magnetic flux of the magnetic field of the dipole moment through surfaces bounded by respective wire segments of the second inductor.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: February 11, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8647893
    Abstract: Embodiments of the invention provide a method of modifying a decomposed integrated circuit (IC) layout. The method includes providing a decomposed IC layout, the decomposed IC layout including a set of colors; determining a density of each color in the decomposed IC layout, wherein each color includes a plurality of features formed by a related exposure; separating the decomposed IC layout into a set of tiles; determining a first color with a minimum density in one tile of the set of tiles and a second color with a maximum density in tile, the first color including a first set of first features and the second color including a first set of second features; and replacing the first set of second features on the tile with a second set of first features, and the first set of first features on the tile with a second set of second features.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: February 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kanak B. Agarwal, Shayak Banerjee, Lars W. Liebmann
  • Patent number: 8650516
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: February 11, 2014
    Inventor: Lisa G. McIlrath
  • Patent number: 8645894
    Abstract: A circuit design system generates a circuit variant by relocating one or more circuit elements through a user move action on a user interface. When the user move action results in the circuit element traversing a circuit domain boundary, the design system performs one or more operations to form the circuit variant having its initial connectivity with the relocated circuit element without any other user action on the user interface than the user move action. Further, in response to no other action on the user interface than the user move action, analysis tools and reports are initiated so that rapid evaluation of circuit variants may be implemented.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: February 4, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Singh Kukal, Amit Chopra, Raja Vitra
  • Publication number: 20140027826
    Abstract: A method of forming an integrated photonic semiconductor structure having a photodetector device and a CMOS device may include depositing a dielectric stack over the photodetector device such that the dielectric stack encapsulates the photodetector. An opening is etched into the dielectric stack down to an upper surface of a region of an active area of the photodetector. A first metal layer is deposited directly onto the upper surface of the region of the active area via the opening such that the first metal layer may cover the region of the active area. Within the same mask level, a plurality of contacts including a second metal layer are located on the first metal layer and on the CMOS device. The first metal layer isolates the active area from the occurrence of metal intermixing between the second metal layer and the active area of the photodetector.
    Type: Application
    Filed: July 30, 2012
    Publication date: January 30, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Solomon Assefa, Jeffrey P. Gambino, Steven M. Shank
  • Patent number: 8640079
    Abstract: Searching and/or replacing graphical objects of a design using a computer system. In one aspect of the inventions, a method includes searching a graphical design for all matching instances of graphical objects that match a search pattern. A graphical replacement pattern is received and caused to be displayed based on user input, and the matching instances in the graphical design are replaced with the graphical replacement pattern. At least one result of the replacement of the matching instances is caused to be displayed on a display device.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: January 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chayan Majumder, Sagufta Siddique
  • Patent number: 8640077
    Abstract: Systems and methods are provided for capturing mutual coupling effects between an integrated circuit chip and chip package using electronic design automation (EDA) tools. Specifically, a method is provided that is implemented in a computer infrastructure for designing an integrated circuit chip. The method includes compiling process technology parameters that describe electrical behavior for a chip-package coupling and a package of the integrated circuit chip. The method also includes generating a parasitic technology file to include the compiled process technology parameters.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Robert A. Groves, Wan Ni, Stephen A. St. Onge, Jiansheng Xu
  • Patent number: 8639487
    Abstract: An automated system-on-chip (SOC) hardware and software cogeneration design flow allows an SOC designer, using a single source description for any platform-independent combination of reused or new IP blocks, to produce a configured hardware description language (HDL) description of the circuitry necessary to implement the SOC, while at the same time producing the development tools (e.g., compilers, assemblers, debuggers, simulator, software support libraries, reset sequences, etc.) used to generate the SOC software and the diagnostics environment used to verify the SOC.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: January 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Gulbin Ayse Ezer, Pavlos Konas, John Barrett Andrews, Stephen Wei Chou, Eileen Margaret Peters Long, Marc Alan Evans
  • Patent number: 8640076
    Abstract: A methodology is provided on developing metal fill as a library device and, in particular, a method of generating a model of the effects (e.g., capacitance) of metal fills in an integrated circuit and a design structure. The method is implemented on a computing device and includes generating a model for effects of metal fill in an integrated circuit. The metal fill model is generated prior to completion of a layout design for the integrated circuit.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Essam Mina, Guoan Wang
  • Patent number: 8640078
    Abstract: Searching for graphical objects of a design using a computer system. In one aspect of the inventions, a method includes defining a graphical search pattern based on input received from a user in a graphical interface displayed on a display device, where the search pattern is a graphical object and is defined with a plurality of types of characteristics. The graphical design is searched for all matching instances of graphical objects in the design that match the search pattern and match the characteristics specified by the search pattern. At least one of the matching instances is caused to be displayed on the display device as a result of the searching.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: January 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chayan Majumder, Sagufta Siddique
  • Patent number: 8635569
    Abstract: A universal memory I/O generating apparatus includes a defining module, a retrieving module, a generating module, and a layout module. The defining module defines a mapping table according to a pin configuration of a plurality of I/Os. The mapping table includes corresponding relationships between the plurality of IOs and a plurality of memory functions. The retrieving module retrieves control information corresponding to the mapping table from candidate information, which is associated with the corresponding relationships between the plurality of I/Os and the plurality of memory functions. The generating module generates a hardware description language (HDL) file according to the control information. The layout module programs the plurality of I/Os according to the HDL file, so that each of the I/Os can correspond to its corresponding memory function.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: January 21, 2014
    Assignee: MStar Semiconductor, Inc.
    Inventors: Sterling Smith, Hsian-Feng Liu, Eer-Wen Tyan, Chun-Chia Chen, Ming-Chieh Yeh, Chung-Ching Chen, Yo-Lin Chen
  • Patent number: 8635564
    Abstract: Methods for optimizing design parameters of a circuit are disclosed. In one aspect, an optimization problem includes one or more performance specifications that represent an exponent of a design parameter to be optimized. Various parameters of passive and active circuit devices may be efficiently and accurately optimized as a result. In another aspect, linear performance specifications are included for accurately calculating voltages. In yet other aspects of the invention, three special types of convex optimization problems are disclosed for enabling the above use of exponents of design parameters which provide efficient and accurate calculations of a virtually unlimited array of circuit parameters and performance characteristics.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: January 21, 2014
    Assignee: Synopsys, Inc.
    Inventors: Maria Del Mar Hershenson, David M. Colleran