Application Of Energy To The Gaseous Etchant Or To The Substrate Being Etched Patents (Class 216/63)
  • Patent number: 8617411
    Abstract: Substrate processing systems and methods for etching an atomic layer are disclosed. The methods and systems are configured to introducing a first gas into the chamber, the gas being an etchant gas suitable for etching the layer and allowing the first gas to be present in the chamber for a period of time sufficient to cause adsorption of at least some of the first gas into the layer. The first gas is substantially replaced in the chamber with an inert gas, and metastables are then generated from the inert gas to etch the layer with the metastables while substantially preventing the plasma charged species from etching the layer.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: December 31, 2013
    Assignee: Lam Research Corporation
    Inventor: Harmeet Singh
  • Patent number: 8603920
    Abstract: A manufacturing method of a semiconductor device includes: irradiating a laser beam on a single crystal silicon substrate, and scanning the laser beam on the substrate so that a portion of the substrate is poly crystallized, wherein at least a part of a poly crystallized portion of the substrate is exposed on a surface of the substrate; and etching the poly crystallized portion of the substrate with an etchant. In this case, a process time is improved.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: December 10, 2013
    Assignee: DENSO CORPORATION
    Inventors: Katsuhiko Kanamori, Masashi Totokawa, Hiroshi Tanaka
  • Patent number: 8598040
    Abstract: A method for etching features in a plurality of silicon based bilayers forming a stack on a wafer in a plasma processing chamber is provided. A main etch gas is flowed into the plasma processing chamber. The main etch gas is formed into a plasma, while providing a first pressure. A wafer temperature of less than 20° C. is maintained. The pressure is ramped to a second pressure less than the first pressure as the plasma etches through a plurality of the plurality of silicon based bilayers. The flow of the main etch gas is stopped after a first plurality of the plurality of bilayers is etched.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: December 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Anne Le Gouil, Jeffrey R. Lindain, Yasushi Ishikawa, Yoko Yamaguchi-Adams
  • Patent number: 8597527
    Abstract: The invention provides a method of forming a concavo-convex pattern by partly removing a magnetic layer and a carbon protective layer in an intermediate product of a magnetic recording medium having at least the magnetic layer and the protective layer formed on a substrate surface, wherein the magnetic layer is partly removed to form the concavo-convex pattern by a dry etching method using a etching gas of a mixture gas of argon and a deposition gas containing one or more types of carbon compounds. Also disclosed is a method of manufacturing a patterned medium type magnetic recording medium employing the method of forming a concavo-convex pattern. As a result a concavo-convex pattern free of after-corrosion and exhibiting good productivity is provided.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: December 3, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Katsumi Taniguchi
  • Patent number: 8567041
    Abstract: A heated resonator includes a base substrate, a piezoelectric piece having a thickness and a top side and a bottom side, a first electrode on the top side, a second electrode opposite the first electrode on the bottom side, an anchor connected between the piezoelectric piece and the base substrate, and a heater on the piezoelectric material. A thermal resistor region in the piezoelectric piece is between the heater and the anchor.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: October 29, 2013
    Assignee: HRL Laboratories, LLC
    Inventor: Christopher S. Roper
  • Patent number: 8536061
    Abstract: According to one embodiment, a semiconductor device manufacturing method includes collectively etching layers of a multilayered film including silicon layers and silicon oxide films alternately stacked on a semiconductor substrate. The etching gas of the etching contains at least two types of group-VII elements and one of a group-III element, a group-IV element, a group-V element, and a group-VI element, the energy of ions entering the semiconductor substrate when performing the etching is not less than 100 eV, and an addition ratio of the group-III element, the group-IV element, the group-V element, the group-VI element, and the group-VII element to the group-VII element is 0.5 (inclusive) to 3.0 (inclusive).
    Type: Grant
    Filed: March 11, 2011
    Date of Patent: September 17, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hisataka Hayashi, Yusuke Kasahara, Tsubasa Imamura
  • Patent number: 8524112
    Abstract: Elemental fluorine and carbonyl fluoride are suitable etchants for producing microelectromechanical devices (“MEMS”). They are preferably applied as mixtures with nitrogen and argon. If applied in Bosch-type process, C4F6 is a highly suitable passivating gas.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: September 3, 2013
    Assignee: Solvay Fluor GmbH
    Inventor: Marcello Riva
  • Patent number: 8506718
    Abstract: A polymer removing apparatus for use in removing polymer annularly adhered to a peripheral portion of a target substrate includes a processing chamber for accommodating the target substrate having the polymer annularly adhered to the peripheral portion thereof; a mounting table for mounting the target substrate thereon; and a laser irradiation unit for irradiating ring-shaped laser light at once to the whole polymer annularly adhered to the target substrate. The polymer removing apparatus further includes an ozone gas supply unit for supplying an ozone gas to the polymer annularly adhered to the target substrate and a gas exhaust unit for exhausting the ozone gas.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: August 13, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Shindou, Masaki Kondo
  • Patent number: 8491801
    Abstract: A method and system provides a near-field transducer (NFT) for an energy assisted magnetic recording (EAMR) transducer. The method and system include forming a sacrificial NFT structure having a shape a location corresponding to the NFT. A dielectric layer is deposited. A portion of the dielectric layer resides on the sacrificial NFT structure. At least this portion of the dielectric layer on the sacrificial structure is removed. The sacrificial NFT structure is removed, exposing an NFT trench in the dielectric layer. At least one conductive layer for the NFT is deposited. A first portion of the conductive layer(s) reside in the NFT trench. A second portion of the conductive layer(s) external to the NFT trench is removed to form the NFT.
    Type: Grant
    Filed: November 8, 2011
    Date of Patent: July 23, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Shawn M. Tanner, Yufeng Hu, Ut Tran, Zhongyan Wang
  • Patent number: 8486280
    Abstract: The present invention provides a method of forming a nanostructured surface (NSS) on a polymer electrolyte membrane (PEM) of a membrane electrode assembly (MEA) for a fuel cell, in which a nanostructured surface is suitably formed on a polymer electrolyte membrane by plasma treatment during plasma assisted etching in a plasma-assisted chemical vapor deposition (PACVD) chamber, where catalyst particles or a catalyst layer are directly deposited on the surface of the polymer electrolyte membrane having the nanostructured surface.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: July 16, 2013
    Assignees: Hyundai Motor Company, Kia Motors Corporation, Korea Institute of Science and Technology
    Inventors: Kwang Ryeol Lee, Myoung Woon Moon, Sae Hoon Kim, Byung Ki Ahn
  • Patent number: 8460567
    Abstract: A method and system for etching a substrate is described and, in particular, a method for etching large, high aspect ratio features, such as those in micro-electromechanical devices (MEMs), is also described. The method comprises disposing a substrate in a processing system, forming plasma having a substantial population of negatively-charged ions, and etching one or more features in the substrate using the negative ion population.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: June 11, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Lee Chen
  • Patent number: 8454752
    Abstract: A foreign substance removing apparatus includes a mounting table for mounting and rotating a substrate; and a laser beam irradiation unit for removing foreign substances attached to a surface of the substrate by irradiating foreign substance cleaning laser beam onto the substrate mounted and rotated on the mounting table. In the foreign substance removing apparatus, the laser beam irradiation unit irradiates laser beam having an elongate shaped irradiation cross section onto the surface of the substrate.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: June 4, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Kondo, Takehiro Shindou
  • Patent number: 8454850
    Abstract: Described herein are a method and an apparatus for removing metal oxides and/or forming solder joints on at least a portion of a substrate surface within a target area. In one particular embodiment, the method and apparatus form a solder joint within a substrate comprising a layer having a plurality of solder bumps by providing one or more energizing electrodes and exposing at least a portion of the layer and solder bumps to the energizing electrode.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: June 4, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Ranajit Ghosh, Gregory Khosrov Arslanian
  • Publication number: 20130112138
    Abstract: The present disclosure provides a method for manufacturing a particle source, comprising: placing a metal wire in vacuum, introducing active gas and catalyst gas, adjusting a temperature of the metal wire, and applying a positive high voltage V to the metal wire to dissociate the active gas at the surface of the metal wire, in order to generate at a peripheral surface of the head of the metal wire an etching zone in which field induced chemical etching (FICE) is performed; increasing by the FICE a surface electric field at the top of the metal wire head to be greater than the to evaporation field of the material for the metal wire, so that metal atoms at the wire apex are evaporated off; after the field evaporation is activated by the FICE, causing mutual adjustment between the FICE and the field evaporation, until the head of the metal wire has a shape of combination of a base and a tip on the base; and stopping the FICE and the field evaporation when the head of the metal wire takes a predetermine shape.
    Type: Application
    Filed: December 26, 2012
    Publication date: May 9, 2013
    Applicant: 38th Research Institute, China Electronics Technology Group Corporation
    Inventor: 38th Research Institute, China Electronics Technology Group Corporation
  • Publication number: 20130098866
    Abstract: In one embodiment, a rotary device includes a multiwall nanotube that extends substantially perpendicularly from a substrate. A rotor may be coupled to an outer wall of the multiwall nanotube, be spaced apart from the substrate, and be free to rotate around an elongate axis of the multiwall nanotube.
    Type: Application
    Filed: November 20, 2012
    Publication date: April 25, 2013
    Applicant: The Charles Stark Draper Laboratory, Inc.
    Inventor: The Charles Stark Draper Laboratory, Inc.
  • Publication number: 20130095574
    Abstract: Silicon microcarriers suitable for fluorescent assays as a well as a method of producing such microcarriers are provided. The method includes the steps of providing a SOI wafer having a bottom layer of monocristalline silicone, an insulator layer and a bottom layer of monocristalline silicon, delineating microparticles, etching away the insulator layer and then depositing an oxide layer on the wafer still holding the microparticles before finally lifting-off the microparticles.
    Type: Application
    Filed: April 13, 2012
    Publication date: April 18, 2013
    Applicant: BIOCARTIS SA
    Inventors: Nicolas DEMIERRE, Stephan GAMPER
  • Patent number: 8419952
    Abstract: According to one embodiment, a method of manufacturing a patterned medium includes forming an implantation depth-adjusting layer above a magnetic recording layer, the magnetic recording layer being made of a material that is deactivated when implanted with a chemical species, and the implantation depth-adjusting layer being made of a material that is etched when irradiated with an ion beam of the chemical species and irradiating the implantation depth-adjusting layer with the ion beam to implant the chemical species into a part of the magnetic recording layer through the implantation depth-adjusting layer while etching the implantation depth-adjusting layer by an action of the ion beam to decrease a thickness of the implantation depth-adjusting layer.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masatoshi Sakurai, Kaori Kimura, Hiroyuki Hyodo, Takeshi Iwasaki
  • Patent number: 8420547
    Abstract: A plasma processing method performed in a plasma processing apparatus including a processing chamber accommodating a substrate in which a plasma is generated; a mounting table mounting the substrate, which is provided in the processing chamber and to which a plasma attraction high frequency voltage is applied; and a facing electrode provided to face the mounting table in the processing chamber, to which a negative DC voltage is applied, the method including: applying a plasma attraction high frequency voltage to the mounting table for a predetermined period of time; and stopping the application of the plasma attraction high frequency voltage to the mounting table. In the plasma processing method, the application of the plasma attraction high frequency voltage and stopping thereof are alternately repeated.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshinobu Ooya
  • Patent number: 8414787
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: April 9, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 8377315
    Abstract: A method for manufacturing porous microstructures in a silicon semiconductor substrate, porous microstructures manufactured according to this method, and the use thereof.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: February 19, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Dick Scholten, Tjalf Pirk, Michael Stumber, Franz Laermer, Ralf Reichenbach, Ando Feyh
  • Patent number: 8372298
    Abstract: Epitaxially coated silicon wafers, are coated individually in an epitaxy reactor by a procedure in which a silicon wafer on a susceptor in the epitaxy reactor, is pretreated in a first step with a hydrogen flow rate of 1-100 slm and in a second step with hydrogen and an etching medium at a hydrogen flow rate of 1-100 slm, and an etching medium flow rate of 0.5-1.5 slm, at an average temperature of 950-1050° C., and is subsequently coated epitaxially, wherein, during the second pretreatment step, the power of heating elements is regulated such that there is a temperature difference of 5-30° C. between a radially symmetrical central region of the silicon wafer and an outer region of the silicon outside the central region.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: February 12, 2013
    Assignee: Siltronic AG
    Inventor: Joerg Haberecht
  • Patent number: 8361340
    Abstract: Described herein are a method and an apparatus for removing metal oxides from a substrate surface within a target area. In one particular embodiment, the method and apparatus has an energizing electrode which has an array of protruding conductive tips that are electrically connected by a conductive wire and separated into a first electrically connected group and a second electrically connected group wherein at least a portion of the conductive tips are activated by a DC voltage source that is negatively biased to generate electrons within the target area that attach to at least a portion of a reducing gas that is present in the target area to form a negatively charged reducing gas that contacts the treating surface to reduce the metal oxides on the treating surface of the substrate.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: January 29, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Richard E. Patrick, Gregory Khosrov Arslanian, Ranajit Ghosh
  • Publication number: 20120322015
    Abstract: Provided are an apparatus and method for treating a substrate, and more particularly, to a substrate treatment apparatus having a cluster structure and a substrate treatment method using the same. The apparatus for treating the substrate includes a load port on which a container for receiving the substrate is placed, a treatment module for treating the substrate, and a transfer module including a robot for transferring the substrate between the container and the treatment module. The treatment module includes a transfer chamber including a robot for transferring the substrate, a load lock chamber disposed between the transfer chamber and the transfer module, a first treatment chamber disposed spaced from the transfer module around the transfer chamber to perform a first treatment process, and a second treatment chamber disposed around the transfer chamber to perform a second treatment process.
    Type: Application
    Filed: May 31, 2012
    Publication date: December 20, 2012
    Inventor: Hyung Joon Kim
  • Patent number: 8329593
    Abstract: Polymer is removed from the backside of a wafer held on a support pedestal in a reactor using an arcuate side gas injection nozzle extending through the reactor side wall with a curvature matched to the wafer edge and supplied with plasma by-products from a remote plasma source.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: December 11, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Imad Yousif, Anchel Sheyner, Ajit Balakrishna, Nancy Fung, Ying Rui, Martin Jeffrey Salinas, Walter R. Merry, Shahid Rauf
  • Patent number: 8313663
    Abstract: A method of treating a workpiece is described. The method comprises selectively forming a sacrificial material on one or more regions of a substrate or a layer on the substrate using a gas cluster ion beam (GCIB), and adjusting a surface profile of a surface on the substrate or the layer on the substrate by performing an etching process following the selective formation.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: November 20, 2012
    Assignee: TEL Epion Inc.
    Inventor: John J. Hautala
  • Patent number: 8308962
    Abstract: The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: November 13, 2012
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventors: Philip Floyd, Chok Ho, Teruo Sasagawa, Xiaoming Yan
  • Patent number: 8303834
    Abstract: A plasma processing apparatus includes an inner upper electrode provided to face a lower electrode mounting thereon a substrate, an outer upper electrode provided in a ring shape at a radially outside of the inner upper electrode and electrically isolated from the inner upper electrode in a vacuum evacuable processing chamber and a processing gas supply unit for supplying a processing gas into a processing space between the inner and the outer upper electrode and the lower electrode. A radio frequency (RF) power supply unit is also provide to apply a RF power to the lower electrode or the inner and the outer upper electrode to generate a plasma of the processing gas by RF discharge. A first and a second DC power supply unit are provided to apply a first and a second variable DC voltage to the inner upper electrode, respectively.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Kenji Masuzawa, Hiroyuki Nakayama, Manabu Iwata, Manabu Sato, Kazuki Narishige
  • Patent number: 8303833
    Abstract: A method for fabrication of microscopic structures that uses a beam process, such as beam-induced decomposition of a precursor, to deposit a mask in a precise pattern and then a selective, plasma beam is applied, comprising the steps of first creating a protective mask upon surface portions of a substrate using a beam process such as an electron beam, focused ion beam (FIB), or laser process, and secondly etching unmasked substrate portions using a selective plasma beam etch process. Optionally, a third step comprising the removal of the protective mask may be performed with a second, materially oppositely selective plasma beam process.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: November 6, 2012
    Assignee: FEI Company
    Inventors: Milos Toth, Noel Smith
  • Publication number: 20120263909
    Abstract: The present subject matter relates to a micromechanical component having a top face and a bottom face and at least two side faces, and a coating of diamond and/or diamond-like carbon (DLC) which encompasses all the surfaces of the component, wherein on at least one side face, across at least a part of said side face, the coating has a smaller coating thickness than that of the top face and/or bottom face, so that a reinforced area in reference to the at least one side face is produced.
    Type: Application
    Filed: April 12, 2012
    Publication date: October 18, 2012
    Applicants: Diamaze Microtechnology S.A., GFD Gesellschaft fur Diamantprodukte mbH
    Inventors: Peter Gluche, Ralph Gretzschel, Matthias Wiora
  • Patent number: 8288287
    Abstract: The invention provides an etching method for realizing trench etching without causing any damages to the side walls of the trench while maintaining a high-etching rate. The plasma etching method relates to forming a groove or a hole by forming a silicon trench to a silicon substrate or a silicon substrate having a silicon oxide dielectric layer via a mixed gas plasma containing a mixed gas of SF6 and O2 or a mixed gas of SF6, O2 and SiF4 and having added thereto a gas containing hydrogen within the range of 5 to 16% (percent concentration) of the total gas flow rate of the mixed gas.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: October 16, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazuo Takata, Yutaka Kudou, Satoshi Tani
  • Patent number: 8288284
    Abstract: To provide a substrate processing method and a semiconductor chip manufacturing method that enable low-cost formation of a mask for etching using plasma etching. During formation of a mask used in plasma dicing for separating a semiconductor wafer 1 into discrete semiconductor chips 1e by means of etching using plasma processing, there is adopted a method including printing a lyophobic liquid in an area on a rear surface 1b that is to be an objective of etching, thereby forming a lyophobic pattern made up of lyophobic films 3; supplying a low viscosity resin 4a and a high viscosity resin 4b, in this sequence, to the rear surface 1b on which the lyophobic pattern is formed, thereby forming a resin film 4 that is thicker than the lyophobic films 3 in an area where the lyophobic films 3 are not present; and curing the resin film 4, to thus form a mask 4* that covers an area except for the area to be etched.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: October 16, 2012
    Assignee: Panasonic Corporation
    Inventors: Kiyoshi Arita, Hiroshi Haji
  • Publication number: 20120255932
    Abstract: A nanofabrication device in an example includes a conducting nanotip and a gas microchannel adjacent to the nanotip and configured to deliver a gas to the nanotip. The nanofabrication device can be used for controlled and localized etching and/or deposition of material from a substrate.
    Type: Application
    Filed: September 29, 2011
    Publication date: October 11, 2012
    Inventors: Massood Tabib-Azar, Carlos H. Mastrangelo
  • Publication number: 20120248064
    Abstract: A substrate processing apparatus includes: a gas supply mechanism supplying gas containing a halogen element and basic gas into a process chamber; and a first temperature adjusting member and a second temperature adjusting member adjusting a temperature of the substrate in the process chamber, wherein the second temperature adjusting member adjusts the temperature of the substrate to a higher temperature than the first temperature adjusting member.
    Type: Application
    Filed: June 14, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tadashi ONISHI
  • Patent number: 8268183
    Abstract: A solid surface is processed while corner portions of a relief structure are protected from deformation. A method of processing a solid surface with a gas cluster ion beam includes a cluster protection layer formation step of forming, on the solid surface, a relief structure having protrusions with a cluster protection layer formed to cover an upper part thereof and recesses without the cluster protection layer; an irradiation step of emitting a gas cluster ion beam onto the solid surface having the relief structure formed in the cluster protection layer formation step; and a removal step of removing the cluster protection layer. A thickness T of the cluster protection layer satisfies T > nY + ( b 2 ? Y 2 ? n - nY 2 ? ( b 4 - 16 ? a 2 ) 1 2 2 ) 1 2 , where n is a dose of the gas cluster ion beam, and Y is an etching efficiency of the cluster protection layer, expressed as an etching volume per cluster (a and b are constants).
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: September 18, 2012
    Assignee: Japan Aviaton Electronics Industry, Limited
    Inventors: Akiko Suzuki, Akinobu Sato, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki
  • Patent number: 8257598
    Abstract: The invention is a method for making a master mold to be used for nanoimprinting patterned-media magnetic recording disks. The method uses conventional optical or e-beam lithography to form a pattern of generally radial stripes on a substrate, with the stripes being grouped into annular zones or bands. A block copolymer material is deposited on the pattern, resulting in guided self-assembly of the block copolymer into its components to multiply the generally radial stripes into generally radial lines of alternating block copolymer components. The radial lines of one of the components are removed and the radial lines of the remaining component are used as an etch mask to etch the substrate. Conventional lithography is used to form concentric rings over the generally radial lines. After etching and resist removal, the master mold has pillars arranged in circular rings, with the rings grouped into annular bands.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: September 4, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Thomas R. Albrecht, Ricardo Ruiz
  • Patent number: 8252194
    Abstract: A method of removing at least a portion of a silicon oxide material is disclosed. The silicon oxide is removed by exposing a semiconductor structure comprising a substrate and the silicon oxide to an ammonium fluoride chemical treatment and a subsequent plasma treatment, both of which may be effected in the same vacuum chamber of a processing apparatus. The ammonium fluoride chemical treatment converts the silicon oxide to a solid reaction product in a self-limiting reaction, the solid reaction product then being volatilized by the plasma treatment. The plasma treatment includes a plasma having an ion bombardment energy of less than or equal to approximately 20 eV. An ammonium fluoride chemical treatment including an alkylated ammonia derivative and hydrogen fluoride is also disclosed.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: August 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Mark W. Kiehlbauch, J. Neil Greeley, Paul A. Morgan
  • Patent number: 8226840
    Abstract: Some embodiments include methods of removing silicon dioxide in which the silicon dioxide is exposed to a mixture that includes activated hydrogen and at least one primary, secondary, tertiary or quaternary ammonium halide. The mixture may also include one or more of thallium, BX3 and PQ3, where X and Q are halides. Some embodiments include methods of selectively etching undoped silicon dioxide relative to doped silicon dioxide, in which thallium is incorporated into the doped silicon dioxide prior to the etching. Some embodiments include compositions of matter containing silicon dioxide doped with thallium to a concentration of from about 1 weight % to about 10 weight %.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: July 24, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 8216486
    Abstract: A temperature control module for a semiconductor processing chamber comprises a thermally conductive component body, one or more channels in the component body and one or more tubes concentric therewith, such that gas filled spaces surround the tubes. By flowing a heat transfer liquid in the tubes and adjusting the gas pressure in the spaces, localized temperature of the component body can be precisely controlled. One or more heating elements can be arranged in each zone and a heat transfer liquid can be passed through the tubes to effect heating or cooling of each zone by activating the heating elements and/or varying pressure of the gas in the spaces.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Henry Povolny, Jerry K. Antolik
  • Patent number: 8202440
    Abstract: Disclosed are methods and apparatus for etching a sample, such as a semiconductor device or wafer. In general terms, embodiments of the present invention allow dry etching of a material on a sample, such as a copper material, at room temperature using a reactive substance, such as a chorine based gas. For example, the mechanisms of the present invention allow precise etching of a copper material to produce fine feature patterns without heating up the whole device or substrate to an elevated temperature such as 50° C. and above. The etching is assisted by simultaneously scanning a charged particle beam, such as an electron beam, and a photon beam, such as a laser beam, over a same target area of the sample while the reactive substance is introduced near the same target area. The reactive substance, charged particle beam, and photon beam act in combination to etch the sample at the target area. For example, a copper layer may be etched using the mechanisms of the present invention.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: June 19, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Mehran Nasser-Ghodsi, Ying Wang, Harrison Chin, Anne Testoni, R. Chris Burns
  • Publication number: 20120145670
    Abstract: The invention relates to a method for etching a structure (1) including FIG. 1 at least one material (4) to be etched, said method consisting in: selecting at least one chemical species that can react with the material (4) to be etched; selecting at least one soluble compound that can release this chemical species; producing a solution (11) containing said compound; placing the structure (1) in a position such that the surface of the material to be etched is in the presence of the solution and additional bubbles of a gas; and producing high-frequency ultrasounds in the solution, at at least one frequency, capable of generating reactive cavitation bubbles such that the chemical species is generated in the presence of these additional bubbles and reacts with the material to be etched, thereby producing a soluble compound or a precipitate.
    Type: Application
    Filed: May 12, 2010
    Publication date: June 14, 2012
    Applicants: Universite Joseph Fourier, Institut Polytechnique de Grenoble
    Inventors: Francis Baillet, Nicolas Gondrexon
  • Patent number: 8158017
    Abstract: A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: April 17, 2012
    Assignee: Lam Research Corporation
    Inventor: Eric Hudson
  • Patent number: 8119017
    Abstract: The invention is a method for making a master mold to be used for nanoimprinting patterned-media magnetic recording disks. The method uses conventional optical or e-beam lithography to form a pattern of generally radial stripes on a substrate, with the stripes being grouped into annular zones or bands. A block copolymer material is deposited on the pattern, resulting in guided self-assembly of the block copolymer into its components to multiply the generally radial stripes into generally radial lines of alternating block copolymer components. The radial lines of one of the components are removed and the radial lines of the remaining component are used as an etch mask to etch the substrate. Conventional lithography is used to form concentric rings over the generally radial lines. After etching and resist removal, the master mold has pillars arranged in circular rings, with the rings grouped into annular bands.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: February 21, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Thomas R. Albrecht, Ricardo Ruiz
  • Publication number: 20110306212
    Abstract: Embodiments described herein relate to a substrate processing apparatus includes a reaction tube, a processing chamber provided inside the reaction tube to process a substrate therein, an induction target provided inside the reaction tube to surround the processing chamber and configured to heat the substrate, a heat insulator provided inside the reaction tube to surround the induction target, an induction target provided outside the reaction tube to inductively heat at least the induction target, a first gas supply unit for supplying a first gas into the processing chamber, and a second gas supply unit for supplying a second gas to a first gap provided between the induction target and the heat insulator.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 15, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Akihiro SATO, Akinori TANAKA, Takeshi ITOH, Masanao FUKUDA, Kazuhiro MORIMITSU
  • Patent number: 8075789
    Abstract: A method and apparatus for cleaning a chamber in a substrate processing system having less reactivity with the chamber walls and the components contained therein. The method includes mixing a diluent gas with a flow of radicals produced by a plasma remotely disposed with respect to the chamber, at a point located between a plasma applicator and the chamber. The apparatus includes a fluid manifold having multiple inlets and an outlet with the outlet being coupled to an intake port of the chamber. One of the inlets are in fluid communication with the plasma applicator, with the remaining inlets being in fluid communication with a supply of the diluent gas. In this fashion, the diluent gas flow and the flow of reactive radicals mix when traveling between the inlets and the outlet to form a gas-radical mixture egressing from the outlet and traversing through the intake port.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Karl A. Littau, Chiliang L. Chen, Anand Vasudev
  • Patent number: 8043659
    Abstract: A substrate processing method capable of controlling the internal pressure of a processing chamber to a high pressure and exhausting gases within the processing chamber at a high rate. The substrate processing method is for use in a substrate processing apparatus having a processing chamber, a supply unit supplying a processing gas into the processing chamber, a first pipe connected to the processing chamber at one end thereof, a turbo molecular pump disposed in the first pipe, a first shutoff valve disposed between the processing chamber and the turbo molecular pump in the first pipe, a second pipe connected to the processing chamber at one end thereof, a pressure control valve disposed in the second pipe, and a dry pump connected to the other end of the first pipe and to the other end of the second pipe.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: October 25, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Eiji Takahashi, Norihiko Amikura
  • Patent number: 8025776
    Abstract: Embodiments of the present invention may provide a microchip applicable to an electrophoresis employing UV detection and a method of manufacturing the same. The microchip of the present invention has a glass channel plate, which is formed on an upper surface thereof with a loading channel and a separation channel and is provided on the upper surface thereof with an optical slit layer made of silicon except the channel region, and a glass reservoir plate, which is formed with sample solution reservoirs and buffer solution reservoirs. The loading channel and the separation channel are formed on the channel plate by deep reactive ion etching. The sample solution reservoirs and the buffer solution reservoirs are formed in the reservoir plate by sand blasting. The channel plate and the reservoir plate are combined by anodic bonding the optical slit layer and the reservoir plate. Electrodes for sample and electrodes for buffer are deposited by sputtering Pt with a shadow mask after anodic bonding.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: September 27, 2011
    Assignee: Korea Institute of Science and Technology
    Inventors: Myung-Suk Chun, Tae Ha Kim
  • Patent number: 8021564
    Abstract: A method for detecting an end point of a resist peeling process in which a resist is gasified to be peeled off by producing hydrogen radicals by catalytic cracking reaction where a hydrogen-containing gas contacts with a high-temperature catalyst, and contacting the produced hydrogen radicals with a resist on a substrate, includes monitoring one or more parameters indicating a state of the catalyst and detecting the end point of the resist peeling process based on variations of the monitored parameters. The hydrogen-containing gas may be a H2 gas. The parameters indicating the state of the catalyst may be one or more electrical parameters when a power is supplied to the catalyst. Further, the catalyst may be a filament made of a high melting point metal.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Isamu Sakuragi, Kazuhiro Kubota
  • Patent number: 7967997
    Abstract: A plasma etching method includes: plasma etching a silicon oxide film to be etched that is positioned under a multi-layer resist mask by using the multi-layer resist mask formed on a substrate to be processed; and plasma etching a glass based film positioned under the silicon oxide film by using the multi-layer resist mask. In the method a gaseous mixture of C4F6 gas and C3F8 gas as a processing gas is used in the plasma etching of the glass based layer.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: June 28, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Sung Tae Lee
  • Patent number: 7955512
    Abstract: Disclosed are medical devices having textured surfaces and related methods for texturing. Methods of surface texturing using gas-phase plasma provide medical devices with myriad complex surface morphologies.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: June 7, 2011
    Assignee: Medtronic, Inc.
    Inventors: Eunsung Park, Catherine E. Taylor, Kevin Casey
  • Patent number: 7955513
    Abstract: A method for shaping a surface of a workpiece, comprises positioning at least one of a workpiece and an inductively-coupled plasma (ICP) torch including three concentrically arranged tubes. A plasma gas is introduced to an outer tube of the ICP torch and energy is transferred from a radio frequency (RF) power source to the plasma gas to generate an excitation zone at least partially downstream of the ICP torch. A reactive reactive precursor is introduced to the excitation zone, and an auxiliary gas is introduced to the intermediate tube to control a position of the excitation zone relative to the ICP torch so that a controlled distribution of reactive species is formed. The surface is shaped by removing material from the surface of the workpiece with at least a portion of the reactive species and adding material to the surface of the workpiece with at least a portion of the reactive species.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: June 7, 2011
    Assignee: Rapt Industries, Inc.
    Inventor: Jeffrey W. Carr