Using Plasma Patents (Class 216/67)
  • Patent number: 8303719
    Abstract: A deposit removing method that can reliably remove deposit produced in plasma processing using plasma produced from a process gas containing methane gas and oxygen gas. In a chamber in which an electrode to which radio frequency electrical power is supplied is disposed, plasma processing is carried out on a substrate using the plasma produced from the process gas containing methane gas and oxygen gas, and then a cleaning step is carried out in which plasma is produced from a mixed gas containing fluorinated compound gas containing hydrogen in the chamber.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Sungtae Lee, Yusuke Nakagawa, Jun Yashiro
  • Patent number: 8303833
    Abstract: A method for fabrication of microscopic structures that uses a beam process, such as beam-induced decomposition of a precursor, to deposit a mask in a precise pattern and then a selective, plasma beam is applied, comprising the steps of first creating a protective mask upon surface portions of a substrate using a beam process such as an electron beam, focused ion beam (FIB), or laser process, and secondly etching unmasked substrate portions using a selective plasma beam etch process. Optionally, a third step comprising the removal of the protective mask may be performed with a second, materially oppositely selective plasma beam process.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: November 6, 2012
    Assignee: FEI Company
    Inventors: Milos Toth, Noel Smith
  • Patent number: 8303828
    Abstract: Provided is a method for manufacturing, in a simple process, a magnetic recording medium having a distinct magnetic recording pattern formed thereon. A method for manufacturing a magnetic recording medium having a magnetically-separated magnetic recording pattern MP, the method at least including; a first step of forming a first magnetic layer 11 on a non-magnetic substrate 10; a second step of forming a resist layer 12 on the first magnetic layer 11, the resist layer 12 being patterned in correspondence with the magnetic recording pattern MP; a third step of forming a second magnetic layer 13 so as to cover a surface of the first magnetic layer 11 having the resist layer 12 formed thereon; a fourth step of removing the resist layer 12 together with the second magnetic layer 13 formed thereon; and a fifth step of partially removing the first magnetic layer 11 or partially modifying magnetic property of the first magnetic layer 11.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: November 6, 2012
    Assignee: Showa Denko K.K.
    Inventors: Shinichi Ishibashi, Masato Fukushima, Akira Yamane
  • Patent number: 8303834
    Abstract: A plasma processing apparatus includes an inner upper electrode provided to face a lower electrode mounting thereon a substrate, an outer upper electrode provided in a ring shape at a radially outside of the inner upper electrode and electrically isolated from the inner upper electrode in a vacuum evacuable processing chamber and a processing gas supply unit for supplying a processing gas into a processing space between the inner and the outer upper electrode and the lower electrode. A radio frequency (RF) power supply unit is also provide to apply a RF power to the lower electrode or the inner and the outer upper electrode to generate a plasma of the processing gas by RF discharge. A first and a second DC power supply unit are provided to apply a first and a second variable DC voltage to the inner upper electrode, respectively.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Kenji Masuzawa, Hiroyuki Nakayama, Manabu Iwata, Manabu Sato, Kazuki Narishige
  • Patent number: 8298381
    Abstract: A vacuum process for etching a metal strip running over a backing roll facing a counterelectrode by magnetron sputtering, and a vacuum chamber etching installation implementing the process. A plasma is created in a gas close to the metal strip so as to generate radicals and/or ions that act on the strip, and at least one closed magnetic circuit, the width of which is approximately equal to that of the metal strip, is selected from a series of at least two closed magnetic circuits of different and fixed widths, then the selected magnetic circuit is positioned so as to face the metal strip, and then the etching of the moving metal strip is carried out.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: October 30, 2012
    Assignee: Arcelormittal France
    Inventors: Hugues Cornil, Benoit Deweer, Claude Maboge, Jacques Mottoulle
  • Patent number: 8298433
    Abstract: A method for generating plasma for removing an edge polymer from a substrate is provided. The method includes providing a powered electrode assembly, which includes a powered electrode, a dielectric layer, and a wire mesh disposed between the powered electrode and the dielectric layer. The method also includes providing a grounded electrode assembly disposed opposite the powered electrode assembly to form a cavity wherein the plasma is generated. The wire mesh is shielded from the plasma by the dielectric layer when the plasma is present in the cavity, which has an outlet at one end for providing the plasma to remove the edge polymer. The method further includes introducing at least one inert gas and at least one process gas into the cavity. The method yet also includes applying an RF field to the cavity using the powered electrode to generate the plasma from the inert gas and process gas.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: October 30, 2012
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, Yunsang Kim, Jason A. Ryder, Andrew D. Bailey, III
  • Patent number: 8298430
    Abstract: This etching method comprises the steps of forming first and second hard masks made of materials different from each other successively on a magnetoresistive film; forming a resist having a lower face opposing a front face of the second hard mask, a space being interposed between the front face and lower face; dry-etching the second hard mask by using the resist as a mask; etching the first hard mask by using the etched second hard mask; and etching the magnetoresistive film by using the first hard mask.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: October 30, 2012
    Assignee: TDK Corporation
    Inventor: Kosuke Tanaka
  • Patent number: 8293129
    Abstract: A manufacturing method of the present invention comprises the step of epitaxially growing a PZT layer on a first electrode layer, and the step of processing the PZT layer to a desired shape using an etching solution after the growing step. The etching solution contains at least one acid from among hydrochloric acid and nitric acid in a concentration CHCl+3.3CHNO3 ranging from 1 wt % to 10 wt %, CHCl and CHNO3 denoting, respectively, a weight concentration of the hydrochloric acid and nitric acid relative to a weight of the etching solution; and at least one fluorine compound from among ammonium fluoride and hydrogen fluoride, such that a weight concentration of fluorine derived from ammonium fluoride and hydrogen fluoride ranges from 0.1 wt % to 1 wt % relative to the weight of the etching solution.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: October 23, 2012
    Assignees: TDK Corporation, SAE Magnetics (H.K.) Ltd.
    Inventors: Katsuyuki Kurachi, Hirofumi Sasaki
  • Patent number: 8293127
    Abstract: A plasma etching method includes placing a printed circuit board into a processing chamber of a plasma etching machine; operating the plasma etching machine with a low concentration of tetrafluoromethane in the processing chamber if the plasma etching machine is operated at a high power level; and operating the plasma etching machine with a high concentration of tetrafluoromethane in the processing chamber if the plasma etching machine is operated at a low power level.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: October 23, 2012
    Assignee: Lockheed Martin Corporation
    Inventor: Joseph F. Rypl
  • Patent number: 8293128
    Abstract: A method of processing a substrate through the use of an apparatus, including a substrate carrier for carrying a substrate; a liquid-applying unit for applying chemical to said substrate; and a gas-applying unit for applying gas atmosphere to said substrate, wherein the method includes processing an organic film pattern formed on a substrate, by, in sequence, removing one of an altered layer and a deposited layer formed on the organic film pattern, and fusing said organic film pattern for deformation by applying gas atmosphere to the organic film pattern in the gas-applying unit, wherein at least a part of the removal step is carried out by applying a liquid to the organic film pattern in the liquid-applying unit. The process may include an ashing unit for ashing the substrate and/or a development unit for developing the organic film pattern.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: October 23, 2012
    Assignee: NEC Corporation
    Inventor: Shusaku Kido
  • Patent number: 8293639
    Abstract: A method for controlling an ADI-AEI CD difference ratio of openings having different sizes is described. The openings are formed through a silicon-containing material layer, an etching resistive layer and a target material layer in turn. Before the opening etching steps, at least one of the opening patterns in the photoresist mask is altered in size through photoresist trimming or deposition of a substantially conformal polymer layer. A first etching step forming thicker polymer on the sidewall of the wider opening pattern is performed to form a patterned Si-containing material layer. A second etching step is performed to remove exposed portions of the etching resistive layer and the target material layer. At least one parameter among the parameters of the photoresist trimming or polymer layer deposition step and the etching parameters of the first etching step is controlled to obtain a predetermined ADI-AEI CD difference ratio.
    Type: Grant
    Filed: February 16, 2009
    Date of Patent: October 23, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yih Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin
  • Patent number: 8287750
    Abstract: A plasma processing method for performing a plasma process on a substrate to be processed by making a plasma act thereon includes the following sequential steps of making a plasma weaker than one used in the plasma process act on the substrate, applying a DC voltage to an electrostatic chuck for attracting and holding the substrate while the weak plasma acts on the substrate, extinguishing the weak plasma, and performing the plasma process. Further, a plasma processing apparatus includes a plasma processing mechanism for performing a plasma process on a substrate to be processed, and a controller for controlling the plasma processing mechanism to thereby perform the plasma processing method.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: October 16, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Toshihiko Shindo, Shin Okamoto, Kimihiro Higuchi
  • Patent number: 8287748
    Abstract: A magnetic head includes: a pole layer including a track width defining portion and a wide portion; and an accommodation layer disposed on a bottom forming layer and having a groove that accommodates the pole layer. The groove includes a first portion for accommodating at least part of the track width defining portion, and a second portion for accommodating at least part of the wide portion. A manufacturing method for the magnetic head includes the steps of: forming a groove defining layer on a nonmagnetic layer that is intended to later become the accommodation layer; forming a mask that covers an area of the nonmagnetic layer where to form the first portion of the groove; etching the nonmagnetic layer so that the second portion of the groove is formed in the nonmagnetic layer; removing the mask; and taper-etching the nonmagnetic layer so that the first portion of the groove is formed in the nonmagnetic layer and the groove is thereby completed.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: October 16, 2012
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Shigeki Tanemura, Jianing Zhou, Min Li
  • Patent number: 8282850
    Abstract: An apparatus for controlling a plasma etching process includes plasma control structure that can vary a size of a plasma flow passage, vary a speed of plasma flowing through the plasma flow passage, vary plasma concentration flowing through the plasma flow passage, or a combination thereof.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: October 9, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Ming Chang, Chi-Lun Lu
  • Patent number: 8282842
    Abstract: A cleaning method following an opening etching is provided. First, a semiconductor substrate having a dielectric layer is provided. The hard mask layer includes at least a metal layer. The opening etch is then carried out to form at least an opening in the dielectric layer. A nitrogen (N2) treatment process is performed to clean polymer residues having carbon-fluorine (C—F) bonds remained in the opening. Finally, a wet cleaning process is performed.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: October 9, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chieh-Ju Wang, Jyh-Cherng Yau, Yu-Tsung Lai, Jiunn-Hsiung Liao
  • Patent number: 8282844
    Abstract: A method and system of etching a metal nitride, such as titanium nitride, is described. The etching process comprises introducing a process composition having a halogen containing gas, such as Cl2, HBr, or BCl3, and a hydrocarbon gas having the chemical formula CxHy, where x and y are equal to unity or greater.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Akiteru Ko, Hiroyuki Takahashi, Masayuki Sawataishi
  • Patent number: 8277673
    Abstract: In a plasma processing method, a conductor of an electrostatic chuck (ESC) and an electrode are electrically grounded prior to starting the plasma processing. A DC voltage with a polarity is applied to the conductor at a first time point after loading a substrate on the electrode. Then, the electrode is switched from an electrically grounded state to an electrically floating state at a second time point. A RF power is then applied to the electrode at a third time point. The application of the RF power is stopped at a fourth time point after a specified time has lapsed from the third time point. Then, the electrode is switched from the electrically floating state to the electrically grounded state at a fifth time point. Thereafter, the application of the DC voltage is stopped and the conductor is restored to a ground potential at a sixth time point.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: October 2, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Tsujimoto, Toshifumi Nagaiwa, Yuji Otsuka
  • Patent number: 8277667
    Abstract: A magnetic element and its manufacturing method are provided. A magnetic element includes an actuation part having a first surface and a second surface, a torsion bar connected to the actuation part, and a frame connected to the first torsion bar, wherein the first surface of the actuation part is an uneven surface. The manufacturing method of the magnetic element starts with forming an passivation layer on a substrate and defining a special area by the mask method, then continues with forming the adhesion layer and electroplate-initializing layer on the substrate sequentially. The photoresist layer are formed and the magnetic-inductive material is electroformed on the electroplate area. Finally, the substrate is etched and the passivation layer is removed to obtain the magnetic element. The manufacturing method of magnetic element of the present invention can be applied in the microelectromechanical system field and other categories.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: October 2, 2012
    Assignee: National Tsing Hua University
    Inventors: Hsueh-An Yang, Weileun Fang, Tsung-Lin Tang
  • Patent number: 8278029
    Abstract: A stamper production method includes: a stamper forming step of producing, by electroplating processing using a matrix in which a pit string constituted of a concave pit is formed on an inorganic resist layer based on recording information, a stamper on which a convex pit corresponding to the concave pit is formed; and an etching step of carrying out etching processing on the stamper so that a reduction ratio of a pit height of a short pit becomes larger than that of a long pit regarding the convex pit of the stamper.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: October 2, 2012
    Assignee: Sony Corporation
    Inventor: Kensaku Takahashi
  • Patent number: 8277674
    Abstract: A method of removing post-etch residues is provided. First, a substrate is provided. An isolation layer covers the substrate and a conductive layer is embedded in the isolation layer. A dielectric layer and a hard mask cover the isolation layer. Then, an etching process is performed, and a patterned hard mask is formed by etching the hard mask by ions or atoms. After that, a charge-removing process is performed by using a conductive solution to cleaning the patterned hard mask and the dielectric layer so as to remove the charges accumulated on the patterned hard mask and the dielectric layer during the etch process. Finally, the post-etch residues on the patterned hard mask and the dielectric layer is removed.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: October 2, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chang-Hsiao Lee, Yu-Tsung Lai, Jiunn-Hsiung Liao
  • Patent number: 8276257
    Abstract: A method and apparatus for providing a write head having well-defined, precise write head pole tips. A coplanar write head pole tip processing method provides a thin-film magnetic write head pole tip layer and defines first and second pole tips from the pole tip layer. When the pole tips are provided on a write head, a write gap can be defined using ion milling, E-beam lithography, FAB or can be deposited. The write head pole tips can be used in conjunction with read heads by merging a read head with a write head or a read head can be bonded to a write head in a piggybacked fashion.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: October 2, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Terence Tin-Lok Lam, Vladimir Nikitin, Changqing Shi, Samuel Yuan
  • Publication number: 20120241411
    Abstract: A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.
    Type: Application
    Filed: March 22, 2012
    Publication date: September 27, 2012
    Inventors: Seth B. Darling, Jeffrey W. Elam, Yu-Chih Tseng, Qing Peng
  • Publication number: 20120241412
    Abstract: In a plasma processing apparatus for performing a plasma process on a substrate, a damage on a surface of a mounting table can be suppressed without using a dummy wafer when cleaning an inside of the plasma processing apparatus. Upon the completion of a plasma etching process, a surface of the susceptor 3 is exposed, and an inside of a vacuum chamber 1 of the plasma etching apparatus is cleaned by plasma P. Thus, reaction products A adhering to the inside of the vacuum chamber 1 are removed. Here, a DC voltage is applied to the plasma P during the cleaning process. As a result, while obtaining high-density plasma P, the ion energy can be reduced, so that the cleaning process can be performed effectively while suppressing damage on the surface of the susceptor 3.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 27, 2012
    Applicant: Tokyo Electron Limited
    Inventor: Takahiro MURAKAMI
  • Patent number: 8273258
    Abstract: A disclosed fine pattern forming method includes steps of: forming patterns made of a first photoresist film, arranged at a first pitch on a film; trimming the patterns made of the first photoresist film; depositing a protection film on the patterns made of the first photoresist film on the trimmed patterns made of the first photoresist film, the protection film being made of reaction products of an etching gas, thereby obtaining first patterns; forming other patterns made of a second photoresist film, arranged at a second pitch, on the protection film, the other patterns made of the second photoresist film being shifted by half of the first pitch from the corresponding patterns made of the first photoresist film; trimming the other patterns made of the second photoresist film into second patterns; and etching the film using the first patterns and the second patterns.
    Type: Grant
    Filed: January 4, 2010
    Date of Patent: September 25, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Takashi Sone, Eiichi Nishimura
  • Patent number: 8273259
    Abstract: Ashing of organic material is conducted initially at a low temperature and then at a high temperature. A low flow rate of ashing gas maximizes ashing rate at the low temperature, and a high flow rate of ashing gas maximizes ashing rate at a high temperature. Preferably, a crossover temperature of a particular organic material in a given ashing system is determined, the crossover temperature characterized in that below the crossover temperature, a decrease in ashing gas flow rate results in an increase of ashing rate, and above the crossover temperature, an increase in ashing gas flow rate results in an increase of ashing rate.
    Type: Grant
    Filed: January 17, 2009
    Date of Patent: September 25, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Huatan Qiu, David Wingto Cheung
  • Patent number: 8268184
    Abstract: A method for selectively etching a substrate is described. The method includes disposing a substrate comprising a silicon nitride (SiNy) layer overlying silicon in a plasma etching system, and transferring a pattern to the silicon nitride layer using a plasma etch process, wherein the plasma etch process utilizes a process composition having as incipient ingredients a process gas containing C, H and F, and an additive gas including CO2. The method further includes: selecting an amount of the additive gas in the plasma etch process to achieve: (1) a silicon recess formed in the silicon having a depth less than 10 nanometers (nm), and (2) a sidewall profile in the pattern having an angular deviation from 90 degrees less than 2 degrees.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Akiteru Ko, Christopher Cole
  • Patent number: 8266785
    Abstract: A method for manufacturing a magnetoresistive sensor having improved pinned layer stability at small track widths. The method includes providing a substrate, and depositing a plurality of sensor layers. A layer of material that is resistant to removal by chemical mechanical polishing (CMP stop layer) and an antireflective coating layer are deposited. A photoresist mask is formed on the antireflective layer, and a reactive ion etch (RIE) is performed to remove portions of the ion mill resistant mask that are not covered by the photoresist mask, the RIE being performed in a plasma chamber having a platen, the performing the RIE further comprising applying a platen power of at least 70 W. An ion milling is performed to remove a portion of the sensor layers, the ion milling being terminating before all of the sensor materials have been removed.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: September 18, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: James Mac Freitag, Wipul Pemsiri Jayasekara, Mustafa Michael Pinarbasi
  • Patent number: 8262920
    Abstract: A method for forming features in a silicon layer is provided. A mask is formed with a plurality of mask openings over the silicon layer. A polymer layer is deposited over the mask by flowing a hydrogen free deposition gas comprising C4F8, forming a plasma from the deposition gas, depositing a polymer from the plasma for at least 20 seconds, and stopping the depositing the polymer after the at least 20 seconds. The deposited polymer layer is opened by flowing an opening gas, forming a plasma from the opening gas which selectively removes the deposited polymer on bottoms of the plurality of mask openings with respect to deposited polymer on sides of the plurality of mask openings, and stopping the opening when at least some of the plurality of mask features are opened. The silicon layer is etched through the mask and deposited polymer layer.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: September 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Tamarak Pandhumsoporn, Patrick Chung, Jackie Seto, S. M. Reza Sadjadi
  • Patent number: 8262801
    Abstract: A vacuum processing method using an apparatus including a processing chamber disposed in a vacuum reactor and having plasma formed thereon, a sample stage having a sample placed on the upper plane thereof, and a gas introducing mechanism, wherein the sample stage includes a gas supply port for introducing thermal conductance gas between the sample stage and the sample to be processed. The method includes placing a dummy sample on the sample stage, introducing dust removal gas between the sample stage and the dummy sample, and removing particles attached to the sample stage via the flow of dust removal gas.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: September 11, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ken Kitaoka, Masamichi Sakaguchi, Kazue Takahasi
  • Patent number: 8262922
    Abstract: Plasma confinement ring assemblies are provided that include confinement rings adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to avoid polymer deposition on those surfaces. The plasma confinement rings include thermal chokes adapted to localize heating at selected portions of the rings that include the plasma exposed surfaces. The thermal chokes reduce heat conduction from those portions to other portions of the rings, which causes selected portions of the rings to reach desired temperatures during plasma processing.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: September 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Felix Kozakevich, James H. Rogers, David Trussell
  • Patent number: 8262923
    Abstract: A method of preventing arcing during bevel edge etching a semiconductor substrate with a plasma in a bevel etcher in which the semiconductor substrate is supported on a semiconductor substrate support comprises bevel edge etching the semiconductor substrate with the plasma in the bevel etcher while evacuating the bevel etcher to a pressure of 3 to 100 Torr while maintaining RF voltage seen at the wafer at a low enough value to avoid arcing.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: September 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Tong Fang, Yunsang S. Kim, Andreas Fischer
  • Patent number: 8257598
    Abstract: The invention is a method for making a master mold to be used for nanoimprinting patterned-media magnetic recording disks. The method uses conventional optical or e-beam lithography to form a pattern of generally radial stripes on a substrate, with the stripes being grouped into annular zones or bands. A block copolymer material is deposited on the pattern, resulting in guided self-assembly of the block copolymer into its components to multiply the generally radial stripes into generally radial lines of alternating block copolymer components. The radial lines of one of the components are removed and the radial lines of the remaining component are used as an etch mask to etch the substrate. Conventional lithography is used to form concentric rings over the generally radial lines. After etching and resist removal, the master mold has pillars arranged in circular rings, with the rings grouped into annular bands.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: September 4, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Thomas R. Albrecht, Ricardo Ruiz
  • Patent number: 8257601
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi
  • Patent number: 8257546
    Abstract: A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: September 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Fenton Davis, John M. Yamartino, Lei Lian
  • Publication number: 20120217221
    Abstract: Systems, methods and apparatus for regulating ion energies in a plasma chamber are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber via a remotely generated ionizing electromagnetic field that extends into the plasma chamber from a remote projected source, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.
    Type: Application
    Filed: July 28, 2011
    Publication date: August 30, 2012
    Applicant: ADVANCED ENERGY INDUSTRIES, INC.
    Inventors: Daniel J. Hoffman, Victor Brouk, Daniel Carter
  • Patent number: 8250736
    Abstract: A magnetic recording medium which does not easily cause a material containing Fe or Co to corrode is disclosed. The method for manufacturing a magnetic recording medium 122 includes a process of forming a magnetic layer 30 on a non-magnetic substrate 10, a process of forming a recessed area 65 in the magnetic layer 30, a process of forming a corrosion-resistant film 60 to cover an exposure surface 65c of the recessed area 65, and a process of forming a magnetic recording pattern made of the magnetically separated magnetic layer 30 by forming a non-magnetic layer 40 to fill in the recessed area 65.
    Type: Grant
    Filed: October 27, 2009
    Date of Patent: August 28, 2012
    Assignee: Showa Denko K.K.
    Inventors: Masato Fukushima, Shinichi Ishibashi, Akira Yamane
  • Patent number: 8252194
    Abstract: A method of removing at least a portion of a silicon oxide material is disclosed. The silicon oxide is removed by exposing a semiconductor structure comprising a substrate and the silicon oxide to an ammonium fluoride chemical treatment and a subsequent plasma treatment, both of which may be effected in the same vacuum chamber of a processing apparatus. The ammonium fluoride chemical treatment converts the silicon oxide to a solid reaction product in a self-limiting reaction, the solid reaction product then being volatilized by the plasma treatment. The plasma treatment includes a plasma having an ion bombardment energy of less than or equal to approximately 20 eV. An ammonium fluoride chemical treatment including an alkylated ammonia derivative and hydrogen fluoride is also disclosed.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: August 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Mark W. Kiehlbauch, J. Neil Greeley, Paul A. Morgan
  • Patent number: 8252193
    Abstract: A substrate plasma processing apparatus includes a chamber of which an interior is evacuated under a predetermined vacuum condition; an RF electrode which is disposed in the chamber and configured so as to hold a substrate to be processed on a main surface thereof; an opposing electrode which is disposed opposite to the RF electrode in the chamber; an RF voltage applying device for applying an RF voltage with a predetermined frequency to the RF electrode; and a pulsed voltage applying device for applying a pulsed voltage to the RF electrode so as to be superimposed with the RF voltage and which includes a controller for controlling a timing in application of the pulsed voltage and defining a pause period of the pulsed voltage.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: August 28, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Ui, Takashi Ichikawa, Naoki Tamaoki, Hisataka Hayashi, Akihiro Kojima
  • Patent number: 8252694
    Abstract: A plasma etching method that can increase the selection ratio of a stop layer to an interlayer insulation film. The plasma etching method is carried out on a substrate that has the interlayer insulation film formed of CwFx (x and w are predetermined natural numbers) and a stop layer that stops etching and is exposed at the bottom of a hole or a trench formed in the interlayer insulation film. The interlayer insulation film and the stop layer are exposed at the same time to plasma generated from CyFz (y and z are predetermined natural numbers) gas and hydrogen-containing gas.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Naotsugu Hoshi, Noriyuki Kobayashi
  • Publication number: 20120211466
    Abstract: The following description relates to a plasma processing apparatus and a method thereof. The plasma processing apparatus comprises a first plasma chamber having a first plasma discharge space, a first plasma source for supplying a first activation energy to the first plasma discharge space within the first plasma chamber, a second plasma chamber which is connected to the first plasma chamber and has a second discharge space, and a second plasma source for supplying a second activation energy for inducing inductive coupled plasma to the second plasma discharge space within the second plasma chamber.
    Type: Application
    Filed: February 28, 2011
    Publication date: August 23, 2012
    Inventor: Dae-Kyu Choi
  • Publication number: 20120211462
    Abstract: A method of etching exposed silicon oxide on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents combine with water vapor. The chemical reaction resulting from the combination produces reactants which etch the patterned heterogeneous structures to produce, in embodiments, a thin residual structure exhibiting little deformation. The methods may be used to conformally trim silicon oxide while removing little or no silicon, polysilicon, silicon nitride, titanium or titanium nitride. In an exemplary embodiment, the etch processes described herein have been found to remove mold oxide around a thin cylindrical conducting structure without causing the cylindrical structure to significantly deform.
    Type: Application
    Filed: September 14, 2011
    Publication date: August 23, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Jingchun Zhang, Anchuan Wang, Nitin K. Ingle
  • Patent number: 8241514
    Abstract: A plasma etching method includes disposing a first electrode and a second electrode to face each other; preparing a part in the processing chamber; supporting a substrate; vacuum-evacuating the processing chamber; supplying an etching gas into a processing space between the first electrode and the second electrode; generating a plasma of the etching gas in the processing space by applying a radio wave power to the first electrode or the second electrode; and etching a film to be processed on a surface of the substrate by using the plasma. Further, a DC voltage is applied to the part during the etching process, the part being disposed away from the substrate and being etched by reaction with reactant species in the plasma.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: August 14, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hiroyuki Nakayama, Manabu Sato
  • Patent number: 8241513
    Abstract: A main object of the present invention is to provide a pattern formed body capable of forming highly precise functional parts on various base materials, and a method for manufacturing the same. To achieve the object, the present invention provides a method for manufacturing a pattern formed body, having a plasma radiating step of radiating plasma to a patterning substrate having: a base material; an intermediate layer formed on the base material and containing a silane coupling agent or a polymer of the silane coupling agent; and a resin layer formed in a pattern form on the intermediate layer, wherein a fluorine gas is used as an introduction gas to radiate the plasma from the resin layer side.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: August 14, 2012
    Assignee: DAI Nippon Printing Co., Ltd.
    Inventor: Hironori Kobayashi
  • Publication number: 20120199553
    Abstract: Problem To provide a carbon film and a laminate having optical characteristics of retaining high transparency, having high refraction index and less double refractivity, being excellent in electric insulating property, being capable of being coated at good adhesion to various substrates, and being capable of being formed at a low temperature, and applications thereof. Means for Solving the Problem The invention relates to a carbon film which has an approximate spectrum curve obtainable by superimposing, on a peak fitting curve A at a Bragg's angle (2?±0.3°) of 43.9°, a peak fitting curve B at 41.7° and a base line in an X-ray diffraction spectrum by a CuKa1 ray, and has a film thickness of from 2 mm to 100 ?m. The intensity of the fitting curve B relative to the intensity of the fitting curve A is preferably from 5 to 90% in the approximated spectrum described above.
    Type: Application
    Filed: July 15, 2011
    Publication date: August 9, 2012
    Inventors: Yoshinori Koga, Masataka Hasegawa, Sumio Iijima, Kazuo Tsugawa, Masatou Ishihara
  • Patent number: 8236700
    Abstract: A method of pattern etching a Si-containing anti-reflective coating (ARC) layer is described. The method comprises etching a feature pattern into the silicon-containing ARC layer using plasma formed from a process gas containing SF6 and a hydrocarbon gas. The method further comprises adjusting a flow rate of the hydrocarbon gas relative to a flow rate of the SF6 to reduce a CD bias between a final CD for nested structures in the feature pattern and a final CD for isolated structures in the feature pattern.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Christopher Cole, Akiteru Ko
  • Patent number: 8236703
    Abstract: Methods for removing contaminants from a semiconductor device that includes a plurality of aluminum-comprising bond pads on a semiconductor surface of a substrate. A plurality of aluminum-including bond pads are formed on the semiconductor surface of the substrate. A patterned passivation layer is then formed on the semiconductor surface, wherein the patterned passivation layer provides an exposed area for the plurality of bond pads. Wet etching with a basic etch solution is used to etch a surface of the exposed area of the aluminum-including bond pads, wherein the wet etching removes at least 100 Angstroms from the surface of the bond pads to form a cleaned surface.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: August 7, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Alfred J. Griffin, Jr., Lisa A. Fritz, Lin Li, Lee Alan Stringer, Neel A. Bhatt, John Paul Campbell, Stephen Arlon Meisner, Charles Leighton
  • Patent number: 8236188
    Abstract: A method for etching features in a low-k dielectric layer disposed below an organic mask is provided by an embodiment of the invention. Features are etched into the low-k dielectric layer through the organic mask. A fluorocarbon layer is deposited on the low-k dielectric layer. The fluorocarbon layer is cured. The organic mask is stripped.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: August 7, 2012
    Assignee: Lam Research Corporation
    Inventors: Bing Ji, Kenji Takeshita, Andrew D. Bailey, III, Eric A. Hudson, Maryam Moravej, Stephen M. Sirard, Jungmin Ko, Daniel Le, Robert C. Hefty, Yu Cheng, Gerardo A. Delgadino, Bi-Ming Yen
  • Patent number: 8237367
    Abstract: According to the plasma treatment on an object accommodated in the processing room, the plasma treatment is carried out as follows. The discharge detecting sensor detects a signal of potential change caused with change in plasma discharge. Receiving the signal, the signal recording section temporarily records the signal as signal data indicating potential change. Referencing the signal data, the signal analysis section extracts index data. The index data shows a condition of plasma discharge, for example, as a count value for discharge-start waves, a count value for abnormal discharge, a count value for feeble arc discharge. The device control section judges a condition of plasma discharge by monitoring the index data and carries out the retry process, the accumulative plasma process, and the maintenance judgment process for performing plasma treatment operations properly.
    Type: Grant
    Filed: November 27, 2008
    Date of Patent: August 7, 2012
    Assignee: Panasonic Corporation
    Inventors: Masaru Nonomura, Tatsuhiro Mizukami
  • Publication number: 20120193323
    Abstract: A method for operating a substrate processing apparatus is provided which can contain generation of particles by generating plasma in a stable manner. After a substrate is disposed in an evacuated vacuum chamber, a rare gas is initially supplied into the vacuum chamber, a voltage is applied to a plasma generating means, and plasma of the rare gas is generated. Subsequently, a reaction gas is supplied into the vacuum chamber, the reaction gas is brought into contact with the plasma of the rare gas, and plasma of the reaction gas is generated. The plasma of the reaction gas is brought into contact with the substrate; and the substrate is processed. Plasma is stably generated not by turning the reaction gas into plasma but by first turning the rare gas into plasma by the plasma generating means, and generation of particles is subsequently suppressed.
    Type: Application
    Filed: March 8, 2012
    Publication date: August 2, 2012
    Applicant: ULVAC, INC.
    Inventors: Yutaka KOKAZE, Masahisa Ueda, Yoshiaki Yoshida
  • Patent number: 8231798
    Abstract: A tray for a dry etching apparatus includes substrate accommodation holes penetrating a thickness direction and a substrate support portion supporting an outer peripheral edge portion of a lower surface of a substrate. A dielectric plate includes a tray support surface supporting a lower surface of the tray, substrate placement portions inserted from a lower surface side of the tray into the substrate accommodation holes and having a substrate placement surface at its upper end surface. A dc voltage applying mechanism applies a dc voltage to an electrostatic attraction electrode. A heat conduction gas supply mechanism supplies a heat conduction gas between the substrate and substrate placement surface. The substrate is retained on the substrate placement surface with high degree of adhesion. Cooling efficiency of the substrate is improved and processing is uniform at the entire region of the substrate surface.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: July 31, 2012
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki