Using Plasma Patents (Class 216/67)
  • Publication number: 20130180954
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for processing a substrate may include a plasma process chamber having a processing volume and a substrate support disposed in the processing volume, the substrate support having a substrate support surface for supporting a substrate; a plurality of first gas inlets to provide a process gas to the processing volume, wherein the plasma process chamber is configured such that flowing the process gas at the same flow rate from each first gas inlet produces a non-uniform plasma at the substrate support surface; and a plurality of flow controllers, wherein each flow controller of the plurality is coupled to a corresponding one of the plurality of first gas inlets to control the flow of the process gas from the corresponding one first gas inlet.
    Type: Application
    Filed: January 4, 2013
    Publication date: July 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: APPLIED MATERIALS, INC.
  • Patent number: 8486280
    Abstract: The present invention provides a method of forming a nanostructured surface (NSS) on a polymer electrolyte membrane (PEM) of a membrane electrode assembly (MEA) for a fuel cell, in which a nanostructured surface is suitably formed on a polymer electrolyte membrane by plasma treatment during plasma assisted etching in a plasma-assisted chemical vapor deposition (PACVD) chamber, where catalyst particles or a catalyst layer are directly deposited on the surface of the polymer electrolyte membrane having the nanostructured surface.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: July 16, 2013
    Assignees: Hyundai Motor Company, Kia Motors Corporation, Korea Institute of Science and Technology
    Inventors: Kwang Ryeol Lee, Myoung Woon Moon, Sae Hoon Kim, Byung Ki Ahn
  • Patent number: 8486291
    Abstract: In the present invention, provided is a plasma processing method which reduces or eliminates the emission of contaminating matters caused by a quality-altered layer on the surface of yttria of a processing chamber's inner wall and parts inside the processing chamber. It is the plasma processing method including an etching step of setting a sample inside the processing chamber, and etching the sample, a deposition-product removing step of removing a deposition product by using a plasma, the deposition product being deposited inside the processing chamber by the etching step, the plasma being generated using a gas which contains fluorine or chlorine, and a step of exposing, to a rare-gas-based plasma, the inside of the processing chamber after the deposition-product removing step.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: July 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Ohmori, Yasuhiro Nishimori, Hiroaki Ishimura, Hitoshi Kobayashi, Masamichi Sakaguchi
  • Patent number: 8486290
    Abstract: There is provided an etching apparatus in which, without setting the information of the substance and the chemical reaction, a small number of representative wavelengths can be selected from a waveform at a lot of wavelengths, and an analysis process of etching data which needs large man-hours can be eliminated to efficiently set the monitoring of the etching.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: July 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshihiro Morisawa, Daisuke Shiraishi, Satomi Inoue
  • Patent number: 8486221
    Abstract: There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: July 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Jun Yamawaku, Tatsuo Matsudo, Masashi Saito
  • Patent number: 8486278
    Abstract: Embodiments of method of manufacturing an implantable pump, including providing an upper layer comprising a dome structure for housing a drug chamber and a cannula in fluid communication with the drug chamber, providing a middle deflection layer adjacent the drug chamber, providing a bottom layer comprising electrolysis electrodes, and bonding the upper layer, middle deflection layer, and bottom layer to form the pump.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: July 16, 2013
    Assignee: MiniPumps, LLC
    Inventors: Changlin Pang, Fukang Jiang, Jason Shih, Sean Caffey, Mark Humayun, Yu-Chong Tai
  • Patent number: 8486288
    Abstract: A pattern forming method including: (a) forming a porous layer above an etching target layer; (b) forming an organic material with a transferred pattern on the porous layer; (c) forming, by use of the transferred pattern, a processed pattern in a transfer oxide film that is more resistant to etching than the porous layer; and (d) transferring the processed pattern to the etching target layer by use of the transfer oxide film as a mask.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: July 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takashi Ohashi
  • Patent number: 8480913
    Abstract: The amount of RF power supplied to a plasma in a vacuum plasma processing chamber is gradually changed on a preprogrammed basis in response to signals stored in a computer memory. The computer memory stores signals so that other processing chamber parameters (pressure, gas species and gas flow rates) remain constant while the gradual change occurs. The stored signals enable rounded corners, instead of sharp edges, to be etched, e.g., at an intersection of a trench wall and base.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: July 9, 2013
    Assignee: Lam Research Corporation
    Inventors: Tuqiang Ni, Weinan Jiang, Frank Y. Lin, Chung-Ho Huang
  • Patent number: 8480912
    Abstract: Provided are a plasma processing apparatus and a plasma processing method, by which plasma damage is reduced during processing. At the time of performing desired plasma processing to a substrate (5), a process chamber (2) is supplied with an inert gas for carrying in and out the substrate (5), pressure fluctuation in the process chamber (2) is adjusted to be within a prescribed range, and plasma (20) of the inert gas supplied in the process chamber (2) is generated. The density of the plasma (20) in the transfer area of the substrate (5) is reduced by controlling plasma power to be in a prescribed range, and the substrate (5) is carried in and out to and from a supporting table (4).
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: July 9, 2013
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Ryuichi Matsuda, Masahiko Inoue, Kazuto Yoshida, Tadashi Shimazu
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8480807
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 9, 2013
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderziek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Publication number: 20130168352
    Abstract: A plasma processing system having at least one processing chamber comprising at least two sub-chambers is provided. The two plasma sub-chambers are in plasma flow or gas flow communication through a passage, which is controlled by a gate. The gate may be operated to allow plasma migration between the two sub-chambers to occur at different conductance rates. In one example, the gate comprises two plates with openings through the plates. At least one of the plates may be rotatable relative to the other plates to govern the conductance rate of the plasma from one sub-chamber to the other sub-chamber.
    Type: Application
    Filed: December 28, 2011
    Publication date: July 4, 2013
    Inventor: Andreas Fischer
  • Publication number: 20130168353
    Abstract: A process for, with use of a tray in which substrate receiving holes are provided and which has substrate support portions protruding from inner walls of the substrate receiving holes, placing the tray onto a tray support portion of a substrate stage and placing substrates onto the substrate holding portions, so that edge portions of the substrates projected out of end edges of the substrate holding portions and the substrate support portions are separated; a process for reducing pressure in a chamber and supplying a process gas thereto to fulfill plasma processing for the substrates; and a process for, with the tray and the substrates placed on the substrate stage, reducing the pressure in the chamber and supplying a process gas to fulfill plasma processing so that by-products stuck to edge portions of the substrates and the substrate support portions are removed.
    Type: Application
    Filed: September 29, 2011
    Publication date: July 4, 2013
    Inventors: Shogo Okita, Ryota Furukawa, Yoshimasa Inamoto, Tatsuhiro Mizukami
  • Publication number: 20130168354
    Abstract: A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times.
    Type: Application
    Filed: July 16, 2012
    Publication date: July 4, 2013
    Inventor: Keren Jacobs Kanarik
  • Patent number: 8475674
    Abstract: Methods of dry etching silicon-containing dielectric films are described. The methods include maintaining a relatively high temperature of the dielectric films while etching in order to achieve reduced solid residue on the etched surface. Partially or completely avoiding the accumulation of solid residue increases the etch rate.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: July 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kiran V. Thadani, Jing Tang, Nitin Ingle, Dongqing Yang
  • Patent number: 8475624
    Abstract: A plasma etch processing chamber configured to clean a bevel edge of a substrate is provided. The chamber includes a bottom edge electrode and a top edge electrode defined over the bottom edge electrode. The top edge electrode and the bottom edge electrode are configured to generate a cleaning plasma to clean the bevel edge of the substrate. The chamber includes a gas feed defined through a top surface of the processing chamber. The gas feed introduces a processing gas for striking the cleaning plasma at a location in the processing chamber that is between an axis of the substrate and the top edge electrode. A pump out port is defined through the top surface of the chamber and the pump out port located along a center axis of the substrate. A method for cleaning a bevel edge of a substrate is also provided.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: July 2, 2013
    Assignee: Lam Research Corporation
    Inventors: Greg Sexton, Andrew Bailey, III, Alan Schoen
  • Patent number: 8475623
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: July 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi
  • Patent number: 8475673
    Abstract: An apparatus for etching high aspect ratio features is provided. A plasma processing chamber is provided, comprising a chamber wall forming a plasma processing chamber enclosure, a lower electrode, an upper electrode, a gas inlet, and a gas outlet. A high frequency radio frequency (RF) power source is electrically connected to at least one of the upper electrode or lower electrode. A bias power system is electrically connected to both the upper electrode and the lower electrode, wherein the bias power system is able to provide a bias to the upper and lower electrodes with a magnitude of at least 500 volts, and wherein the bias to the lower electrode is pulsed to intermittently. A gas source is in fluid connection with the gas inlet. A controller is controllably connected to the gas source, the high frequency RF power source, and the bias power system.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: July 2, 2013
    Assignee: Lam Research Company
    Inventor: Erik A. Edelberg
  • Patent number: 8475672
    Abstract: The present invention provides a plasma processing device and a plasma processing method that can easily adjust plasma density distribution while making the plasma density uniform, and a method of manufacturing an element including a substrate to be processed. In an embodiment of the present invention, the inside of a vacuum vessel (1) is divided by a grid (4) having communication holes into a plasma generation chamber (2) and a plasma processing chamber (5). On the upper wall (26) of the plasma generation chamber (2), magnetic coils (12) are arranged such that magnetic field lines within the vacuum vessel (1) point from the center of the vacuum vessel (1) to a side wall (27), and, outside the side wall (27) of the plasma generation chamber (2), ring-shaped permanent magnets (13) are arranged such that a polarity pointing to the inside of the vacuum vessel (1) is a north pole and a polarity pointing to the outside of the vacuum vessel (1) is a south pole.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: July 2, 2013
    Assignee: Canon Anelva Corporation
    Inventors: Kazuyuki Iori, Yukito Nakagawa
  • Patent number: 8470187
    Abstract: A method of depositing a film with a target conformality on a patterned substrate, includes: depositing a first film on a convex pattern and a bottom surface; and depositing a second film on the first film, thereby forming an integrated film having a target conformality, wherein one of the first and second films is a conformal film which is non-flowable when being deposited and has a conformality of about 80% to about 100%, and the other of the first and second films is a flowable film which is flowable when being deposited.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: June 25, 2013
    Assignee: ASM Japan K.K.
    Inventor: Jeongseok Ha
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8468682
    Abstract: A method for providing a perpendicular magnetic recording transducer is described. The method and system include providing a metallic underlayer and providing an insulator, at least a portion of which is on the metallic underlayer. The method and system also include forming a trench in the insulator. The bottom of the trench is narrower than the top of the trench and includes a portion of the metallic underlayer. The method and system also include providing a nonmagnetic seed layer that substantially covers at least the bottom and sides of the trench. The method and system also include plating a perpendicular magnetic pole material on at least a portion of the seed layer and removing a portion of the perpendicular magnetic pole material. A remaining portion of the perpendicular magnetic pole material forms a perpendicular magnetic recording pole.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: June 25, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventor: Lei Larry Zhang
  • Patent number: 8470186
    Abstract: A perpendicular write head having a wrap around shield and a conformal side gap. In fabricating the write head, the leading edge shield may be chemical mechanical polished down to a level that is substantially even with a chemical mechanical polishing stop layer. Because the leading edge shield and the chemical mechanical polishing stop layer are used as RIE stop for trench RIE, a fully conformal side shield may be formed with a LTE/LES.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: June 25, 2013
    Assignee: HGST Netherlands B.V.
    Inventors: Yingjian Chen, Shiwen Huang, Fenglin Liu, Kyusik Shin
  • Publication number: 20130153536
    Abstract: Methods and apparatuses for combinatorial processing using a remote plasma source are disclosed. The apparatus includes a remote plasma source and an inner chamber enclosing a substrate support. An aperture is operable to provide plasma exposure to a site-isolated region on a substrate. A transport system moves the substrate support and is capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate. Barriers and a gas purge system operate to provide site-isolation. Plasma exposure parameters can be varied in a combinatorial manner. Such parameters include source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Intermolecular, Inc.
    Inventors: ShouQian Shao, Kent Riley Child, Danny Wang
  • Patent number: 8465659
    Abstract: A method for forming an ink jet print head can include attaching a plurality of piezoelectric elements to a diaphragm, dispensing a dielectric fill layer over the diaphragm and the plurality of piezoelectric elements to encapsulate the piezoelectric elements, curing the dielectric fill layer to form an interstitial layer, then removing the interstitial layer from an upper surface of the plurality of piezoelectric elements using a plasma etch.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: June 18, 2013
    Assignee: Xerox Corporation
    Inventors: Bryan R. Dolan, John R. Andrews, Bradley J. Gerner, Mark A. Cellura
  • Patent number: 8465658
    Abstract: In a method of forming a main pole, an initial accommodation layer is etched by RIE using a first etching mask having a first opening, whereby a groove is formed in the initial accommodation layer. Next, a part of the initial accommodation layer including the groove is etched by RIE using a second etching mask having a second opening, so that the groove becomes an accommodation part. The main pole is then formed in the accommodation part. The first etching mask has first and second sidewalls that face the first opening and are opposed to each other at a first distance in a track width direction. The second etching mask has third and fourth sidewalls that face the second opening and are opposed to each other at a second distance greater than the first distance.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: June 18, 2013
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Kazuki Sato, Shigeki Tanemura, Yukinori Ikegawa
  • Publication number: 20130149540
    Abstract: An object of the present invention is to provide: an electrophotographic member which enhances image quality, prevents the lowering of a grade of an image even when images have been repeatedly output, and can remarkably enhance the stability of the grade of the image; an intermediate transfer member; and an image forming apparatus. The electrophotographic member includes a base layer and a surface layer, wherein the surface layer has a binder resin, perfluoropolymer fine particles, a fluorocarbon resin dispersing agent and a particular fluorine compound, wherein the perfluoropolymer fine particle has a fluorine compound carried on its surface.
    Type: Application
    Filed: December 5, 2012
    Publication date: June 13, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Canon Kabushiki Kaisha
  • Publication number: 20130146763
    Abstract: An object of the present invention is to provide an image processing apparatus that quickly and precisely measures or evaluates a distortion in a field of view and a charged particle beam apparatus. To attain the object, an image processing apparatus or the like is proposed which acquires a first image of a first area of an imaging target and a second image of a second area that is located at a different position than the first area and partially overlaps with the first area and determines the distance between a measurement point in the second image and a second part of the second image that corresponds to a particular area for a plurality of sites in the overlapping area of the first image and the second image.
    Type: Application
    Filed: May 25, 2011
    Publication date: June 13, 2013
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Hiroki Kawada, Osamu Inoue, Miyako Matsui, Takahiro Kawasaki, Naoshi Itabashi, Takashi Takahama, Katsumi Setoguchi, Osamu Komuro
  • Patent number: 8460567
    Abstract: A method and system for etching a substrate is described and, in particular, a method for etching large, high aspect ratio features, such as those in micro-electromechanical devices (MEMs), is also described. The method comprises disposing a substrate in a processing system, forming plasma having a substantial population of negatively-charged ions, and etching one or more features in the substrate using the negative ion population.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: June 11, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Lee Chen
  • Patent number: 8460508
    Abstract: Synchronous pulse plasma etching equipment includes a first electrode and one or more second electrodes configured to generate plasma in a plasma etching chamber. A first radio frequency power output unit is configured to apply a first radio frequency power having a first frequency and a first duty ratio to the first electrode, and to output a control signal including information about a phase of the first radio frequency power. At least one second radio frequency power output unit is configured to apply a second radio frequency power having a second frequency and a second duty ratio to a corresponding second electrode among the second electrodes. The second radio frequency power output unit is configured to control the second radio frequency power to be synchronized with the first radio frequency power or to have a phase difference from the first radio frequency power in response to the control signal.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: June 11, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ken Tokashiki, Hong Cho, Jeong-Dong Choe
  • Patent number: 8460568
    Abstract: A continuous method for making a nanostructured surface comprises (a) placing a substrate comprising a nanoscale mask on a cylindrical electrode in a vacuum vessel, (b) introducing etchant gas to the vessel at a predetermined pressure, (c) generating plasma between the cylindrical electrode and a counter-electrode, (d) rotating the cylindrical electrode to translate the substrate, and (e) anisotropically etching a surface of the substrate to provide anisotropic nanoscale features on the surface.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: June 11, 2013
    Assignee: 3M Innovative Properties Company
    Inventors: Moses M. David, Ta-Hua Yu
  • Patent number: 8455364
    Abstract: In one non-limiting exemplary embodiment, a method includes: providing a structure having at least one lithographic layer on a substrate, where the at least one lithographic layer includes a planarization layer (PL); forming a sacrificial mandrel by patterning at least a portion of the at least one lithographic layer using a photolithographic process, where the sacrificial mandrel includes at least a portion of the PL; and producing at least one microstructure by using the sacrificial mandrel in a sidewall image transfer process.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventor: Sivananda K. Kanakasabapathy
  • Patent number: 8454844
    Abstract: A method for processing a wafer to form a plurality of hollow microneedles projecting from a substrate includes forming, by use of a dry etching process, a number of groups of recessed features, each including at least one slot deployed to form an open shape having an included area and at least one hole located within the included area. The internal surfaces of the holes and the slots are then coated with a protective layer. An anisotropic wet etching process is then performed in such a manner as to remove material from outside the included areas while leaving a projecting feature within each of the included areas. The protective layer is then removed to reveal the microneedles.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: June 4, 2013
    Assignee: NanoPass Technologies Ltd.
    Inventors: Yehoshua Yeshurun, Mier Hefetz, Meint De Boer, Erwin J W Berenschot, Hans Gardeniers
  • Patent number: 8449731
    Abstract: Local plasma density, e.g., the plasma density in the vicinity of the substrate, is increased by providing an ion extractor configured to transfer ions and electrons from a first region of magnetically confined plasma (typically a region of higher density plasma) to a second region of plasma (typically a region of lower density plasma). The second region of plasma is preferably also magnetically shaped or confined and resides between the first region of plasma and the substrate. A positively biased conductive member positioned proximate the second region of plasma serves as an ion extractor. A positive bias of about 50-300 V is applied to the ion extractor causing electrons and subsequently ions to be transferred from the first region of plasma to the vicinity of the substrate, thereby forming higher density plasma. Provided methods and apparatus are used for deposition and resputtering.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: May 28, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Anshu A. Pradhan, Douglas B. Hayden, Ronald L. Kinder, Alexander Dulkin
  • Patent number: 8449786
    Abstract: A bonded assembly to reduce particle contamination in a semiconductor vacuum chamber such as a plasma processing apparatus is provided, including an elastomeric sheet adhesive bond between mating surfaces of a component and a support member to accommodate thermal stresses. The elastomeric sheet comprises a silicone adhesive to withstand a high shear strain of ?800% at a temperature range between room temperature and 300° C. such as heat curable high molecular weight dimethyl silicone with optional fillers. The sheet form has bond thickness control for parallelism of bonded surfaces. The sheet adhesive may be cut into pre-form shapes to conform to regularly or irregularly shaped features, maximize surface contact area with mating parts, and can be installed into cavities. Installation can be manually, manually with installation tooling, or with automated machinery. Composite layers of sheet adhesive having different physical properties can be laminated or coplanar.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: May 28, 2013
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Tom Stevenson, Victor Wang
  • Patent number: 8449783
    Abstract: A liquid ejection head substrate is manufactured by forming a wiring pattern on one surface of a substrate, forming an etching mask layer on the other surface of the substrate, forming a positioning reference mark on the etching mask layer by means of a laser, forming an opening pattern groove running through the etching mask layer and having a bottom in the inside of the silicon substrate, using the positioning reference mark, and forming a liquid supply port running through the silicon substrate by etching the silicon substrate from the opening pattern groove to the one surface by means of crystal anisotropic etching.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: May 28, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Keiji Watanabe
  • Publication number: 20130129582
    Abstract: The present invention relates to the treatment of internal surfaces of a hollow body, on the inner surfaces of which areas having different surface properties, for example, having hydrophilic and hydrophobic properties, are produced. The invention further relates to fluid separators that are based on said hollow bodies and that have areas having different surface properties. Such fluid separators are used in medical technology and analysis, in particular biochemical analysis.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 23, 2013
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventor: Fraunhofer-Gesellschaft Zur Foerderung Der Ange
  • Publication number: 20130126476
    Abstract: A system and method of plasma processing includes a plasma chamber including a substrate support and an upper electrode opposite the substrate support, the upper electrode having a plurality of concentric temperature control zones and a controller coupled to the plasma chamber.
    Type: Application
    Filed: March 15, 2012
    Publication date: May 23, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Ryan Bise, Lumin Li, Sang Ki Nam, Jim Rogers, Eric Hudson, Gerardo Delgadino, Andrew D. Bailey, III, Mike Kellogg, Anthony de la LIera
  • Patent number: 8444869
    Abstract: A method and apparatus for cleaning a wafer. The wafer is heated and moved to a processing station within the apparatus that has a platen either permanently in a platen down position or is transferable from a platen up position to the platen down position. The wafer is positioned over the platen so as not to contact the platen and provide a gap between the platen and wafer. The gap may be generated by positioning the platen in a platen down position. A plasma flows into the gap to enable the simultaneous removal of material from the wafer front side, backside and edges. The apparatus may include a single processing station having the gap residing therein, or the apparatus may include a plurality of processing stations, each capable of forming the gap therein for simultaneously removing additional material from the wafer front side, backside and edges.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: May 21, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung
  • Publication number: 20130119018
    Abstract: A method for processing substrate in a processing chamber that has at least one plasma generating source and a gas source for providing a process gas into the chamber is provided. The method includes exciting the plasma generating source with an RF signal having an RF frequency. The method also includes pulsing the RF signal using at least one of amplitude, phase, and frequency of the RF signal having a first value during first portion of an RF pulsing period and a second value during second portion of RF pulsing period, which is associated with first source pulsing frequency. The method further includes pulsing the gas source such that the process gas flows into the chamber at a first rate during a first portion of a gas pulsing period and a second rate during a second portion of the gas pulsing period, which is associated with the gas pulsing frequency.
    Type: Application
    Filed: July 16, 2012
    Publication date: May 16, 2013
    Inventors: Keren Jacobs Kanarik, Joydeep Guha
  • Publication number: 20130119019
    Abstract: A method for processing substrate in a processing chamber, which has at least one plasma generating source and a gas source for providing process gas into the chamber, is provided. The method includes exciting the plasma generating source with an RF signal having RF frequency. The method further includes pulsing the gas source, using at least a first gas pulsing frequency, such that a first process gas is flowed into the chamber during a first portion of a gas pulsing period and a second process gas is flowed into the chamber during a second portion of the gas pulsing period, which is associated with the first gas pulsing frequency. The second process gas has a lower reactant-gas-to-inert-gas ratio relative to a reactant-gas-to-inert-gas ratio of the first process gas. The second process gas is formed by removing at least a portion of a reactant gas flow from the first process gas.
    Type: Application
    Filed: July 16, 2012
    Publication date: May 16, 2013
    Inventor: Keren Jacobs Kanarik
  • Publication number: 20130119014
    Abstract: A method for treating a surface of a porous material in an environment is provided, comprising setting the temperature of the surface to a value T1 and setting the pressure of the environment to a value P1, contacting the surface with a fluid having a solidifying temperature at the pressure value P1 above the value T1 and having a vaporizing temperature at the pressure value P1 below 80° C., thereby solidifying the fluid in pores of the material, thereby sealing the pores, treating the surface, wherein the treatment is preferably an etching or a modification of the surface, and setting the temperature of the surface to a value T2 and setting the pressure of the environment to a value P2 in such a way as to vaporize the fluid.
    Type: Application
    Filed: November 13, 2012
    Publication date: May 16, 2013
    Applicants: GLOBALFOUNDERIES Inc., IMEC
    Inventors: IMEC, GLOBALFOUNDERIES Inc.
  • Patent number: 8440573
    Abstract: A method is provided for processing a wafer used in fabricating semiconductor devices. The method can comprise forming high-aspect ratio features on the wafer, which is followed by wet processing and drying. During drying, pattern collapse can occur. This pattern collapse can be repaired to allow for additional processing of the wafer. In some instance, pattern collapse can be repaired via etching where the etching breaks bonds that can have formed during pattern collapse.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: May 14, 2013
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin, Qian Fu, Glenn W. Gale, Shenjian Liu, Mark H. Wilcoxson
  • Patent number: 8440050
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber, a first electrode divided into an outer electrode and an inner electrode, a second electrode, a first and a second high frequency power application unit for applying to the second electrode a first and a second high frequency power having a relatively high frequency and a relatively low frequency, respectively, a first and a second DC voltage application circuit apply a DC voltage to the outer and the inner electrode, respectively, and a processing gas supply unit. A space between the first electrode and the second electrode serves as a plasma generation space, and frequency-impedance characteristics of the outer electrode are set such that the impedance increases at the frequency of the second high frequency power and decreases at the frequency of the first high frequency power as the DC voltage applied to the outer electrode increases.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: May 14, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Manabu Iwata, Hiroyuki Nakayama, Kenji Masuzawa, Masanobu Honda
  • Publication number: 20130112379
    Abstract: A super-hydrorepellent coating composition including a nano structure, polyorganosiloxane, a cross-linker, and a catalyst; a super-hydrorepellent coating layer including a cured product of the super-hydrorepellent coating composition; and a heat exchanger including the super-hydrorepellent coating layer.
    Type: Application
    Filed: April 22, 2011
    Publication date: May 9, 2013
    Inventors: Young-Chul Ko, Woo-Taek Hwang, Ha-Jin Kim, Sang Eui Lee, Nak-Hyun Kim
  • Patent number: 8435895
    Abstract: Methods are provided for cleaning metal regions overlying semiconductor substrates. A method for removing material from a metal region comprises heating the metal region, forming a plasma from a gas comprising hydrogen and carbon dioxide, and exposing the metal region to the plasma.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: May 7, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: David Chen, Haruhiro Harry Goto, Martina Martina, Frank Greer, Shamsuddin Alokozai
  • Patent number: 8435419
    Abstract: Methods of processing substrates having metal layers are provided herein. In some embodiments, a method of processing a substrate comprising a metal layer having a patterned mask layer disposed above the metal layer, the method may include etching the metal layer through the patterned mask layer; and removing the patterned mask layer using a first plasma formed from a first process gas comprising oxygen (O2) and a carbohydrate. In some embodiments, a two step method with an additional second process gas comprising chlorine (Cl2) or a sulfur (S) containing gas, may provide an efficient way to remove patterned mask residue.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: May 7, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Guowen Ding, Herrick Ng, Teh-Tien Sue, Benjamin Schwarz, Zhuang Li
  • Patent number: 8435416
    Abstract: A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc-No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Toshiro Hiraoka, Yoshihiro Akasaka, Yasuyuki Hotta
  • Patent number: 8435415
    Abstract: A nanofabrication process for use with a photoresist that is disposed on a substrate includes the steps of exposing the photoresist to a grayscale radiation pattern, developing the photoresist to remove a irradiated portions and form a patterned topography having a plurality of nanoscale critical dimensions, and selectively etching the photoresist and the substrate to transfer a corresponding topography having a plurality of nanoscale critical dimensions into the substrate.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: May 7, 2013
    Assignees: The United States of America, as represented by the Secretary of Commerce, the National Institute of Standards and Technology, Cornell University—Cornell Center for Technology, Enterprise & Commercialization
    Inventors: Samuel Martin Stavis, Elizabeth Arlene Strychalski, Michael Gaitan
  • Patent number: RE44356
    Abstract: A method of manufacturing a tunable wavelength optical filter. The method includes steps of forming a first sacrificial oxide film for floating a lower mirror on a semiconductor substrate; sequentially laminating conductive silicon films and oxide films for defining a mirror region on the first sacrificial oxide film in a multi-layer and laminating another conductive silicon film to form a lower mirror; sequentially laminating conductive silicon films and oxide films for defining the mirror region on a second sacrificial oxide film in a multi-layer and laminating another conductive silicon film to form an upper mirror and forming an optical tuning space between the lower mirror and the upper mirror and etching the first sacrificial oxide film and the second sacrificial oxide film such that the lower mirror is floated on the semiconductor substrate.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: July 9, 2013
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Chang Auck Choi, Myung Lae Lee, Chang Kyu Kim, Chi Hoon Jun, Youn Tae Kim