Gate Insulator Includes Material (including Air Or Vacuum) Other Than Sio 2 Patents (Class 257/410)
  • Publication number: 20120043625
    Abstract: Field effect transistors, methods of fabricating a carbon insulating layer using molecular beam epitaxy and methods of fabricating a field effect transistor using the same are provided, the methods of fabricating the carbon insulating layer include maintaining a substrate disposed in a molecular beam epitaxy chamber at a temperature in a range of about 300° C. to about 500° C. and maintaining the chamber in vacuum of 10?11 Torr or less prior to performing an epitaxy process, and supplying a carbon source to the chamber to form a carbon insulating layer on the substrate. The carbon insulating layer is formed of diamond-like carbon and tetrahedral amorphous carbon.
    Type: Application
    Filed: October 27, 2011
    Publication date: February 23, 2012
    Inventors: David Seo, Jai-kwang Shin, Sun-ae Seo
  • Publication number: 20120043624
    Abstract: An ultra-thin body transistor and a method for manufacturing an ultra-thin body transistor are disclosed. The ultra-thin body transistor comprises: a semiconductor substrate; a gate structure on the semiconductor substrate; and a source region and a drain region in the semiconductor substrate and on either side of the gate structure; in which the gate structure comprises a gate dielectric layer, a gate embedded in the gate dielectric layer, and a spacer on both sides of the gate; the ultra-thin body transistor further comprises: a body region and a buried insulated region located sequentially under the gate structure and in a well region; two ends of the body region and the buried insulated region are connected with the source region and the drain region respectively; and the body region is isolated from other regions in the well region by the buried insulated region under the body region. The ultra-thin body transistor has a thinner body region, which decreases the short channel effect.
    Type: Application
    Filed: January 27, 2011
    Publication date: February 23, 2012
    Inventors: Qingqing Liang, Huicai Zhong, Huilong Zhu
  • Patent number: 8120082
    Abstract: Disclosed relates to a ferroelectric memory device that is manufactured easily, operates at low voltage and has excellent data preservation period, and a method of manufacturing the same. In the present invention, a ferroelectric layer 60 is formed on a part corresponding to a channel region 4 on the silicon substrate 1. The ferroelectric layer 60 made of an organic material such as PVDF, etc. shows polarization characteristics at low voltage below 1V, and such polarization characteristics continue over a specific time period, not changed as time goes by. Accordingly, it is possible to manufacture a ferroelectric memory device that operates at low voltage and is manufactured with a simplified structure in a simplified method.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: February 21, 2012
    Assignee: University of Seoul, Foundation of Industry-Academic Cooperation
    Inventor: Byung-Eun Park
  • Patent number: 8115261
    Abstract: It is made possible to provide a semiconductor device and a method for manufacturing the semiconductor device that have the highest possible permittivity and can be produced at low production costs. A method for manufacturing a semiconductor device, includes: forming an amorphous film containing (HfzZr1-z)xSi1-xO2-y (0.81?x?0.99, 0.04?y?0.25, 0?z?1) on a semiconductor substrate, the ranges of composition ratios x, y, and z being values measured by XPS; and transforming the amorphous film into an insulating film containing (HfzZr1-z)xSi1-xO2 as tetragonal crystals, by performing annealing at 750° C. or higher on the amorphous film in an atmosphere containing oxygen.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: February 14, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsunehiro Ino, Yasushi Nakasaki
  • Patent number: 8114739
    Abstract: Methods are provided for fabricating a transistor. An exemplary method involves depositing an oxide layer overlying a layer of semiconductor material, forming an oxygen-diffusion barrier layer overlying the oxide layer, forming a layer of high-k dielectric material overlying the oxygen-diffusion barrier layer, forming a layer of conductive material overlying the layer of high-k dielectric material, selectively removing portions of the layer of conductive material, the layer of high-k dielectric material, the oxygen-diffusion barrier layer, and the oxide layer to form a gate stack, and forming source and drain regions about the gate stack. When the conductive material is an oxygen-gettering conductive material, the oxygen-diffusion barrier layer prevents diffusion of oxygen from the deposited oxide layer to the oxygen-gettering conductive material.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: February 14, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Murshed M. Chowdhury, James K. Schaeffer
  • Publication number: 20120032279
    Abstract: A barrier layer, hafnium oxide layer, between a III-V semiconductor layer and an lanthanum oxide layer is used to prevent interaction between the III-V semiconductor layer and the lanthanum oxide layer. Meanwhile, the high dielectric constant of the lanthanum oxide can be used to increase the capacitance of the semiconductor device.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 9, 2012
    Applicant: NATIONAL CHIAO TUNG UNIVERSITY
    Inventors: Edward Yi CHANG, Yueh-Chin LIN
  • Patent number: 8110465
    Abstract: The gate electrode of a metal oxide semiconductor field effect transistor (MOSFET) comprises a source side gate electrode and a drain side gate electrode that abut each other near the middle of the channel. In one embodiment, the source side gate electrode comprises a silicon oxide based gate dielectric and the drain side gate electrode comprises a high-k gate dielectric. The source side gate electrode provides high carrier mobility, while the drain side gate electrode provides good short channel effect and reduced gate leakage. In another embodiment, the source gate electrode and drain gate electrode comprises different high-k gate dielectric stacks and different gate conductor materials, wherein the source side gate electrode has a first work function a quarter band gap away from a band gap edge and the drain side gate electrode has a second work function near the band gap edge.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Qingqing Liang
  • Publication number: 20120025326
    Abstract: An interface oxide layer, a gate insulating film, and a gate electrode are sequentially provided on the upper surface of a semiconductor substrate. The gate insulating film has a first high-k film and a second high-k film. The first high-k film is provided on the interface oxide layer, and contains nitrogen. The second high-k film is provided on the first high-k film, and contains nitrogen. The first high-k film has a lower nitrogen concentration than the second high-k film.
    Type: Application
    Filed: October 10, 2011
    Publication date: February 2, 2012
    Applicant: Panasonic Corporation
    Inventors: Hiroshi NAKAGAWA, Jun SUZUKI
  • Patent number: 8106467
    Abstract: A transistor is formed in the active region of a semiconductor substrate. A sidewall structure is disposed on the sidewalls of a gate electrode. A stress control film covers the semiconductor substrate. The sidewall structure includes a first portion extending along partial upper sidewalls of the gate electrode, a second portion extending from partial lower sidewalls of the gate electrode to partial surfaces of the active region, a third portion extending along partial surfaces of the first active region outer than the second portions, and a fourth portion facing the sidewalls of the gate electrode and an upper surface of the active region via the first to third portions. A Young's modulus of the first portion is lower than that of the third portion. The transistor is of an n-type and stress in the stress control film is tensile, or the transistor is of a p-type and stress is compressive.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: January 31, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Masashi Shima
  • Patent number: 8106468
    Abstract: A semiconductor device includes a gate stack; an air-gap under the gate stack; a semiconductor layer vertically between the gate stack and the air-gap; and a first dielectric layer underlying and adjoining the semiconductor layer. The first dielectric layer is exposed to the air-gap.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: January 31, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ta-Wei Wang, Chih-Sheng Chang
  • Patent number: 8106455
    Abstract: Multiple types of gate stacks are formed on a doped semiconductor well. A high dielectric constant (high-k) gate dielectric is formed on the doped semiconductor well. A metal gate layer is formed in one device area, while the high-k gate dielectric is exposed in other device areas. Threshold voltage adjustment oxide layers having different thicknesses are formed in the other device areas. A conductive gate material layer is then formed over the threshold voltage adjustment oxide layers. One type of field effect transistors includes a gate dielectric including a high-k gate dielectric portion. Other types of field effect transistors include a gate dielectric including a high-k gate dielectric portion and a first threshold voltage adjustment oxide portions having different thicknesses. Field effect transistors having different threshold voltages are provided by employing different gate dielectric stacks and doped semiconductor wells having the same dopant concentration.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Brian J. Greene, Michael P. Chudzik, Shu-Jen Han, William K. Henson, Yue Liang, Edward P. Maciejewski, Myung-Hee Na, Edward J. Nowak, Xiaojun Yu
  • Publication number: 20120018816
    Abstract: A semiconductor device is formed with low resistivity self aligned silicide contacts with high-K/metal gates. Embodiments include postponing silicidation of a metal layer on source/drain regions in a silicon substrate until deposition of a high-K dielectric, thereby preserving the physical and morphological properties of the silicide film and improving device performance. An embodiment includes forming a replaceable gate electrode on a silicon-containing substrate, forming source/drain regions, forming a metal layer on the source/drain regions, forming an ILD over the metal layer on the substrate, removing the replaceable gate electrode, thereby forming a cavity, depositing a high-K dielectric layer in the cavity at a temperature sufficient to initiate a silicidation reaction between the metal layer and underlying silicon, and forming a metal gate electrode on the high-K dielectric layer.
    Type: Application
    Filed: July 26, 2010
    Publication date: January 26, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Indradeep Sen, Thorsten Kammler, Andreas Knorr, Akif Sultan
  • Publication number: 20120018812
    Abstract: Methods and systems evaluate an integrated circuit design for power consumption balance and performance balance, using a computerized device. Based on this process of evaluating the integrated circuit, the methods and systems can identify first sets of integrated circuit transistor structures within the integrated circuit design that need reduced power leakage and second sets of integrated circuit transistor structures that need higher performance to achieve the desired power consumption balance and performance balance. With this, the methods and systems alter the integrated circuit design to include implantation of a first dopant into a substrate before a gate insulator formation for the first sets of integrated circuit transistor structures; and alter the integrated circuit design to include implantation of a second dopant into the substrate before a gate insulator formation for the second sets of integrated circuit transistor structures.
    Type: Application
    Filed: July 21, 2010
    Publication date: January 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: Brent A. Anderson, Terence B. Hook
  • Patent number: 8101480
    Abstract: A method of forming a transistor induces stress in the channel region using a stress memorization technique (SMT). Impurities are implanted into a substrate adjacent a gate electrode structure to produce an amorphous region adjacent the channel region. The amorphous region is then recrystallized by forming a metal-oxide layer over the amorphous region, and then thermally treating the same. The crystallization creates compressive stress in the amorphous region. As a result, stress is induced in the channel region of the substrate located under the gate electrode structure.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: January 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-Hoon Kim, Chung-Geun Koh, Kwan-Yong Lim, Hyun-Jung Lee, Tae-Ouk Kwon, Sang-Bom Kang
  • Patent number: 8093638
    Abstract: Electronic systems and methods of forming the electronic systems include a gate dielectric having multiple lanthanide oxide layers. Such electronic systems may be used in a variety of electronic system applications. A dielectric film having a layer of a lanthanide oxide and a layer of another lanthanide oxide provides a reliable gate dielectric with an equivalent oxide thickness thinner than attainable using SiO2.
    Type: Grant
    Filed: January 9, 2007
    Date of Patent: January 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8093666
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a lanthanide yttrium aluminum oxide dielectric film on a substrate for use in a variety of electronic systems. The lanthanide yttrium aluminum oxide film may be structured as one or more monolayers. The lanthanide yttrium aluminum oxide film may be formed by atomic layer deposition.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: January 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8089130
    Abstract: The present invention, in one aspect, provides an integrated circuit that comprises a first region of transistors having gate structures with a low dopant concentration, and a second region of transistors having gate structures with a dopant concentration substantially higher than the gate structures of the first region, and wherein the transistors in the first region comprise a substantial portion of the integrated circuit. The transistors may include a resistor region located between an upper portion of the gate and the gate dielectric.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: January 3, 2012
    Assignee: Agere Systems Inc.
    Inventors: Taeho Kook, Tanya Nigam, Bonnie E. Weir
  • Publication number: 20110316095
    Abstract: A semiconductor device includes a silicon substrate, an SiO film, and a High-K film. The SiO film is first formed on the silicon substrate and then subjected to a nitridation process to obtain an SiON film from the SiO film. The nitridation process is performed such that nitrogen concentration in the SiO film decreases from an interface with the silicon substrate below and an interface with the High-K film above, and nitrogen having predetermined concentration or more is introduced in a thickness within a range of 0.2 nm to 1 nm from the interface with the silicon substrate. The SiON film is etched up to a depth to which nitrogen of the predetermined concentration or more is introduced. The High-K film is then formed on the SiON film.
    Type: Application
    Filed: August 31, 2011
    Publication date: December 29, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Takashi Shimizu
  • Patent number: 8084808
    Abstract: Electronic apparatus and systems include structures having a dielectric layer containing a zirconium silicon oxide film. A zirconium silicon oxide film may be disposed in an integrated circuit, as well as in a variety of other electronic devices. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: December 27, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8084824
    Abstract: A method for fabricating metal gate transistor is disclosed. First, a substrate having a first transistor region and a second transistor region is provided. Next, a stacked film is formed on the substrate, in which the stacked film includes at least one high-k dielectric layer and a first metal layer. The stacked film is patterned to form a plurality of gates in the first transistor region and the second transistor region, a dielectric layer is formed on the gates, and a portion of the dielectric layer is planarized until reaching the top of each gates. The first metal layer is removed from the gate of the second transistor region, and a second metal layer is formed over the surface of the dielectric layer and each gate for forming a plurality of metal gates in the first transistor region and the second transistor region.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: December 27, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Hao Yu, Li-Wei Cheng, Che-Hua Hsu, Cheng-Hsien Chou, Tian-Fu Chiang, Chien-Ming Lai, Yi-Wen Chen, Jung-Tsung Tseng, Chien-Ting Lin, Guang-Hwa Ma
  • Patent number: 8084765
    Abstract: An electronic device, such as a thin film transistor, is disclosed having a dielectric layer formed from a composition comprising a compound having at least one phenol group and at least one group containing comprising silicon. The resulting dielectric layer has good electrical properties.
    Type: Grant
    Filed: May 7, 2007
    Date of Patent: December 27, 2011
    Assignee: Xerox Corporation
    Inventors: Yu Qi, Yiliang Wu, Yuning Li, Beng S. Ong
  • Publication number: 20110309455
    Abstract: A quarter-gap p-type field effect transistor (PFET) formed by gate-last fabrication includes a gate stack formed on a silicon substrate, the gate stack including: a high-k dielectric layer located on the silicon substrate; and a gate metal layer located over the high-k dielectric layer, the gate metal layer including titanium nitride and having a thickness of about 20 angstroms; and a metal contact formed over the gate stack. A quarter-gap n-type field effect transistor (NFET) formed by gate-last fabrication includes a gate stack formed on a silicon substrate, the gate stack including: a high-k dielectric layer located on the silicon substrate; and a first gate metal layer located over the high-k dielectric layer, the first gate metal layer including titanium nitride; and a metal contact formed over the gate stack.
    Type: Application
    Filed: June 16, 2010
    Publication date: December 22, 2011
    Applicants: GLOBALFOUNDRIES INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Takashi Ando, Kisik Choi, Vijay Narayanan, Tenko Yamashita, Junli Wang
  • Publication number: 20110309449
    Abstract: A method of fabricating a gate stack for a transistor includes forming a high dielectric constant layer on a semiconductor layer. A metal layer is formed on the high dielectric constant layer. A silicon containing layer is formed over the metal layer. An oxidized layer incidentally forms during the silicon containing layer formation and resides on the metal layer beneath the silicon containing layer. The silicon containing layer is removed. The oxidized layer residing on the metal layer is removed after removing the silicon containing layer.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 22, 2011
    Applicant: International Business Machines Corporation
    Inventors: TAKASHI ANDO, Kisik Choi, Matthew W. Copel, Richard A. Haight
  • Publication number: 20110298060
    Abstract: A gate stack structure for field effect transistor (FET) devices includes a nitrogen rich first dielectric layer formed over a semiconductor substrate surface; a nitrogen deficient, oxygen rich second dielectric layer formed on the nitrogen rich first dielectric layer, the first and second dielectric layers forming, in combination, a bi-layer interfacial layer; a high-k dielectric layer formed over the bi-layer interfacial layer; a metal gate conductor layer formed over the high-k dielectric layer; and a work function adjusting dopant species diffused within the high-k dielectric layer and within the nitrogen deficient, oxygen rich second dielectric layer, and wherein the nitrogen rich first dielectric layer serves to separate the work function adjusting dopant species from the semiconductor substrate surface.
    Type: Application
    Filed: June 2, 2010
    Publication date: December 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tze-Chiang Chen, Dechao Guo, Philip J. Oldiges, Yanfeng Wang
  • Publication number: 20110298062
    Abstract: Metal gate structures and methods for forming thereof are provided herein. In some embodiments, a method for forming a metal gate structure on a substrate having a feature formed in a high k dielectric layer may include depositing a first layer within the feature atop the dielectric layer; depositing a second layer comprising cobalt or nickel within the feature atop the first layer; and depositing a third layer comprising a metal within the feature atop the second layer to fill the feature, wherein at least one of the first or second layers forms a wetting layer to form a nucleation layer for a subsequently deposited layer, wherein one of the first, second, or third layers forms a work function layer, and wherein the third layer forms a gate electrode.
    Type: Application
    Filed: May 26, 2011
    Publication date: December 8, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SESHADRI GANGULI, SANG Ho YU, SANG-HYEOB LEE, HYOUNG-CHAN HA, WEI TI LEE, HOON KIM, SRINIVAS GANDIKOTA, YU LEI, KEVIN MORAES, XIANMIN TANG
  • Publication number: 20110298061
    Abstract: The present disclosure provides a method for forming a semiconductor device that includes forming a replacement gate structure overlying a channel region of a substrate. A mandrel dielectric layer is formed overlying source and drain regions of the substrate. The replacement gate structure is removed to provide an opening exposing the channel region of the substrate. A functional gate structure is formed over the channel region including a work function metal layer. A protective cap structure is formed over the functional gate structure. At least one via is etched through the mandrel dielectric layer selective to the protective cap structure to expose a portion of at least one of the source region and the drain region. A conductive fill is then formed in the vias to provide a contact to the at least one of the source region and the drain region.
    Type: Application
    Filed: June 8, 2010
    Publication date: December 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shahab Siddiqui, Michael P. Chudzik, Carl J. Radens
  • Publication number: 20110291204
    Abstract: A semiconductor device has: a silicon substrate; trench formed downward from the surface of the silicon substrate, the trench defining active regions on the surface of the silicon substrate; a first liner layer of a silicon nitride film covering an inner wall of the trench; a second liner layer of a silicon nitride layer formed on the first liner layer; an element isolation region of an insulator formed on the second liner layer; a p-channel MOS transistor formed in and on one of the active regions; a contact etch stopper layer of a silicon nitride layer not having a ultraviolet shielding ability, formed above the silicon substrate, and covering the p-channel MOS transistor; and a light shielding film of a silicon nitride layer having the ultraviolet shielding ability and formed above the contact etch stopper layer.
    Type: Application
    Filed: August 15, 2011
    Publication date: December 1, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Yoshiyuki Ookura
  • Patent number: 8067794
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a HfSiON film on a substrate for use in a variety of electronic systems. The HfSiON film may be structured as one or more monolayers. Electrodes to a dielectric containing a HfSiON may be structured as one or more monolayers of titanium nitride, tantalum, or combinations of titanium nitride and tantalum.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: November 29, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20110284968
    Abstract: A semiconductor device includes a semiconductor substrate having a top surface and a recessed portion including at least two oblique side surfaces and a first bottom surface therebetween, a gate insulating layer formed on the recessed portion, a gate electrode formed on the gate insulating layer, a channel region below the gate electrode in the semiconductor substrate, and gate spacers formed on side surfaces of the gate electrode, wherein both the bottom surface and the side surfaces of the recessed portion include flat surfaces. A method of manufacturing a semiconductor device comprising the steps of forming a recess portion including at least two oblique side surfaces and a bottom surface therebetween in a semiconductor substrate, forming a gate insulating layer formed on the recessed portion, forming a gate electrode formed on the gate insulating layer, forming a channel region below the gate electrode in the semiconductor substrate, and forming gate spacers formed on side surfaces of the gate electrode.
    Type: Application
    Filed: April 29, 2011
    Publication date: November 24, 2011
    Inventors: Kwang-Wook Lee, Jae-Jik Baek, In-Seak Hwang, Seok-Woo Nam
  • Publication number: 20110272765
    Abstract: A MOSFET is described incorporating a common metal process to make contact to the source, drain and the metal gate respectively which may be formed concurrently with the same metal or metals.
    Type: Application
    Filed: May 8, 2010
    Publication date: November 10, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Soon-Cheon Seo, Bruce B. Doris, Chih-Chao Yang
  • Patent number: 8053812
    Abstract: A method for fabricating a non-volatile memory array includes placing contacts over bit lines in a self-aligned manner. The placing includes forming self-aligned contact holes bounded by a second insulating material resistant to the removal of a first insulating material previously deposited over the bit lines, and depositing contact material, wherein the second insulating material blocks effusion of the contact material beyond the contact holes. The distance between neighboring bit lines in the array does not include a margin for contact misalignment.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: November 8, 2011
    Assignee: Spansion Israel Ltd
    Inventor: Assaf Shappir
  • Publication number: 20110266637
    Abstract: A method includes forming a polysilicon layer on a substrate; and patterning the polysilicon layer to form a polysilicon resistor and a polysilicon gate. A first ion implantation is performed on the polysilicon resistor to adjust electric resistance of the polysilicon resistor. A second ion implantation is performed on a top portion of the polysilicon resistor such that the top portion of the polysilicon resistor has an enhanced etch resistance. An etch process is then used to remove the polysilicon gate while the polysilicon resistor is protected by the top portion.
    Type: Application
    Filed: April 29, 2010
    Publication date: November 3, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Da-Yuan Lee, Matt Yeh
  • Patent number: 8048791
    Abstract: Methods for forming a semiconductor device comprising a semiconductor substrate are provided. In accordance with an exemplary embodiment, a method comprises forming a channel layer overlying the semiconductor substrate, forming a channel capping layer having a first surface overlying the channel layer, oxidizing the first surface of the channel capping layer, and depositing a high-k dielectric layer overlying the channel capping layer.
    Type: Grant
    Filed: February 23, 2009
    Date of Patent: November 1, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Michael Hargrove, Richard J. Carter, Ying H Tsang, George Kluth, Kisik Choi
  • Patent number: 8048792
    Abstract: In a replacement gate approach, a superior cross-sectional shape of the gate opening may be achieved by performing a material erosion process in an intermediate state of removing the placeholder material. Consequently, the remaining portion of the placeholder material may efficiently protect the underlying sensitive materials, such as a high-k dielectric material, when performing the corner rounding process sequence.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: November 1, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sven Beyer, Klaus Hempel, Andreas Ott, Stephan Kruegel
  • Publication number: 20110260263
    Abstract: A method of manufacturing a semiconductor device is provided herein, where the width effect is reduced in the resulting semiconductor device. The method involves providing a substrate having semiconductor material, forming an isolation trench in the semiconductor material, and lining the isolation trench with a liner material that substantially inhibits formation of high-k material thereon. The lined trench is then filled with an insulating material. Thereafter, a layer of high-k gate material is formed over at least a portion of the insulating material and over at least a portion of the semiconductor material. The liner material divides the layer of high-k gate material, which prevents the migration of oxygen over the active region of the semiconductor material.
    Type: Application
    Filed: July 7, 2011
    Publication date: October 27, 2011
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Richard J. CARTER, George J. KLUTH, Michael J. HARGROVE
  • Patent number: 8044469
    Abstract: A semiconductor device and associated methods, the semiconductor device including a semiconductor substrate with a first well region, a first gate electrode disposed on the first well region, and a first N-type capping pattern, a first P-type capping pattern, and a first gate dielectric pattern disposed between the first well region and the first gate electrode.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: October 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hongbae Park, Hagju Cho, Sunghun Hong, Sangjin Hyun, Hoonjoo Na, Hyung-seok Hong
  • Patent number: 8044471
    Abstract: A transistor, such a MOSFET, having an epitaxially grown strain layer disposed over a channel region of a substrate for stressing the channel region to increase the carrier mobility in the channel, and method for making same. The strain layer is composed of a high dielectric constant material.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: October 25, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Min Cao
  • Publication number: 20110254106
    Abstract: A semiconductor device includes a gate insulation film formed over a semiconductor substrate; a cap film formed over the gate insulation film; a silicon oxide film formed over the cap film; a metal gate electrode formed over the silicon oxide film; and source/drain diffused layers formed in the semiconductor substrate on both sides of the metal gate electrode.
    Type: Application
    Filed: March 21, 2011
    Publication date: October 20, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Akira Katakami, Takayuki Aoyama
  • Patent number: 8039968
    Abstract: A semiconductor integrated circuit device including a dummy via is disclosed. In the semiconductor integrated circuit device, problems such as reduction in the designability and increase in fabrication cost which result from the existence of a dummy wire connected to the dummy via are suppressed. The semiconductor integrated circuit device includes a substrate and three or more wiring layers formed on the substrate. The dummy via connects between a first wiring layer and a second wiring layer. The dummy wire connected to the dummy via exists in the second wiring layer. A protrusion amount of the dummy wire is smaller than a protrusion amount of an intermediate wire included in a stacked via structure.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: October 18, 2011
    Assignee: Panasonic Corporation
    Inventors: Hideaki Kondou, Hiromasa Fukazawa
  • Publication number: 20110248350
    Abstract: Adjustment of a switching threshold of a field effect transistor including a gate structure including a Hi-K gate dielectric and a metal gate is achieved and switching thresholds coordinated between NFETs and PFETs by providing fixed charge materials in a thin interfacial layer adjacent to the conduction channel of the transistor that is provided for adhesion of the Hi-K material, preferably hafnium oxide or HfSiON, depending on design, to semiconductor material rather than diffusing fixed charge material into the Hi-K material after it has been applied. The greater proximity of the fixed charge material to the conduction channel of the transistor increases the effectiveness of fixed charge material to adjust the threshold due to the work function of the metal gate, particularly where the same metal or alloy is used for both NFETs and PFETs in an integrated circuit; preventing the thresholds from being properly coordinated.
    Type: Application
    Filed: April 9, 2010
    Publication date: October 13, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Chudzik, William K. Henson, Unoh Kwon
  • Publication number: 20110248346
    Abstract: The semiconductor device includes a first transistor and a second transistor formed in a semiconductor substrate. The first transistor includes: a first gate insulating film formed on the semiconductor substrate; and a first gate electrode formed on the first gate insulating film. The second transistor includes: a second gate insulating film formed on the semiconductor substrate; and a second gate electrode formed on the second gate insulating film. The first gate insulating film includes a first insulating material with a first element diffused therein, the second gate insulating film includes the first insulating material, and the amount of the first element contained in the first gate insulating film is greater than the amount of the first element contained in the second gate insulating film.
    Type: Application
    Filed: June 23, 2011
    Publication date: October 13, 2011
    Applicant: Panasonic Corporation
    Inventors: Riichirou MITSUHASHI, Takayuki YAMADA
  • Publication number: 20110248359
    Abstract: A semiconductor device includes a semiconductor substrate, a gate dielectric layer formed on the semiconductor substrate, and at least a first conductive-type metal gate formed on the gate dielectric layer. The first conductive-type metal gate includes a filling metal layer and a U-type metal layer formed between the filling metal layer and the gate dielectric layer. A topmost portion of the U-type metal layer is lower than the filling metal layer.
    Type: Application
    Filed: April 13, 2010
    Publication date: October 13, 2011
    Inventors: Guang-Yaw Hwang, Yu-Ru Yang, Jiunn-Hsiung Liao, Pei-Yu Chou
  • Patent number: 8035174
    Abstract: A semiconductor device includes: a semiconductor substrate; a source region and a drain region formed in the upper part of the semiconductor substrate so as to be spaced; a channel region formed in a part of the semiconductor substrate between the source region and the drain region; a first dielectric film formed on the channel region of the semiconductor substrate; a second dielectric film formed on the first dielectric film and having a higher permittivity than the first dielectric film; a third dielectric film formed on at least an end surface of the second dielectric film near the drain region out of end surfaces of the second dielectric film near the source and drain regions; and a gate electrode formed on the second dielectric film and the third dielectric film.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: October 11, 2011
    Assignee: Panasonic Corporation
    Inventor: Yoshinori Takami
  • Patent number: 8035173
    Abstract: An NFET containing a first high-k dielectric portion and a PFET containing a second high-k gate dielectric portion are formed on a semiconductor substrate. A gate sidewall nitride is formed on the gate of the NFET, while the sidewalls of the PFET remain free of the gate sidewall nitride. An oxide spacer is formed directly on the sidewalls of a PFET gate stack and on the gate sidewall nitride on the NFET. After high temperature processing, the first and second dielectric portions contain a non-stoichiometric oxygen deficient high-k dielectric material. The semiconductor structure is subjected to an anneal in an oxygen environment, during which oxygen diffuses through the oxide spacer into the second high-k dielectric portion. The PFET comprises a more stoichiometric high-k dielectric material and the NFET comprises a less stoichiometric high-k dielectric material. Threshold voltages of the PFET and the NFET are optimized by the present invention.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huiming Bu, Eduard A. Cartier, Bruce B. Doris, Young-Hee Kim, Barry Linder, Vijay Narayanan, Vamsi K. Paruchuri, Michelle L. Steen
  • Publication number: 20110241130
    Abstract: A semiconductor device includes a blocking structure between a metal layer and at least one underlying layer. The blocking structure has a first layer configured for preventing diffusion of metal from the metal layer into the at least one underlying layer, and a second layer configured for enhancing electrical performance of the semiconductor device.
    Type: Application
    Filed: April 2, 2010
    Publication date: October 6, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Bor-Wen CHAN, Hsueh Wen Tsau
  • Patent number: 8030718
    Abstract: The present disclosure provides a semiconductor device. The semiconductor device includes a semiconductor substrate having a source region and a drain region, defining a first dimension from the source to drain; and a gate stack disposed on the semiconductor substrate and partially interposed between the source region and the drain region. The gate stack includes a high k dielectric layer disposed on the semiconductor substrate; a first metal feature disposed on the high k dielectric layer, the first metal gate feature having a first work function and defining a second dimension parallel with the first dimension; and a second metal feature having a second work function different from the first work function and defining a third dimension parallel with the first dimension, the third dimension being less than the second dimension.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: October 4, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huan-Tsung Huang, Shyh-Horng Yang, Yuri Masuoka, Ken-Ichi Goto
  • Publication number: 20110233683
    Abstract: A method for fabricating a semiconductor device is provided which includes providing a semiconductor substrate, forming a plurality of transistors, each transistor having a dummy gate structure, forming a contact etch stop layer (CESL) over the substrate including the dummy gate structures, forming a first dielectric layer to fill in a portion of each region between adjacent dummy gate structures, forming a chemical mechanical polishing (CMP) stop layer over the CESL and first dielectric layer, forming a second dielectric layer over the CMP stop layer, performing a CMP on the second dielectric layer that substantially stops at the CMP stop layer, and performing an overpolishing to expose the dummy gate structure.
    Type: Application
    Filed: June 9, 2011
    Publication date: September 29, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Harry Chuang, Kong-Beng Thei, Su-Chen Lai, Gary Shen
  • Patent number: 8026161
    Abstract: A gate oxide and method of fabricating a gate oxide that produces a more reliable and thinner equivalent oxide thickness than conventional SiO2 gate oxides are provided. Also shown is a gate oxide with a conduction band offset in a range of approximately 5.16 eV to 7.8 eV. Gate oxides formed from elements such as zirconium are thermodynamically stable such that the gate oxides formed will have minimal reactions with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which further inhibits reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit the layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: September 27, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20110227170
    Abstract: There is provided a MOSFET structure and a method of fabricating the same. The method comprises: providing a semiconductor substrate; forming a dummy s gate on the semiconductor substrate; forming source/drain regions; selectively etching the dummy gate to a position where a channel is to be formed; and epitaxially growing a channel layer at the position where the channel is to be formed and forming a gate on the channel layer, wherein the channel layer comprises a material of high mobility. Thereby, the channel of the device is replaced with the material of high mobility after the source/drain region is formed, and thus it is possible to suppress the short channel effect and also to improve the device performance.
    Type: Application
    Filed: June 24, 2010
    Publication date: September 22, 2011
    Inventors: Huilong Zhu, Haizhou Yin, Zhijiong Luo, Qingqing Liang
  • Patent number: 8022489
    Abstract: An air tunnel floating gate memory cell includes an air tunnel defined over a substrate. A first polysilicon layer (floating gate) is defined over the air tunnel. An oxide layer is disposed over the first polysilicon layer such that the oxide layer caps the first polysilicon layer and defines the sidewalls of the air tunnel. A second polysilicon layer, functioning as a word line, is defined over the oxide layer. A method for making an air tunnel floating gate memory cell is also disclosed. A sacrificial layer is formed over a substrate. A first polysilicon layer is formed over the sacrificial layer. An oxide layer is deposited over the first polysilicon layer such that the oxide layer caps the first polysilicon layer and defines the sidewalls of the sacrificial layer. A hot phosphoric acid (H3PO4) dip is used to etch away the sacrificial layer to form an air tunnel.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: September 20, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Hang-Ting Lue, Erh-Kun Lai, Kuang Yeu Hsieh