Including Organic Insulating Material Between Metal Levels Patents (Class 257/759)
  • Patent number: 7939940
    Abstract: A resin coated copper foil is used to fabricate a multilayer Chip Scale Package (CSP). A CSP package base has a first electrical routing layer. A resin coated copper foil is hot pressed onto the CSP package base and then patterned to form a second electrical routing layer. Conductive vias are then formed between the electrical routing layers. An Organic Solder Preservative (OSP) is used a surface finish for solder balls of the CSP.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: May 10, 2011
    Assignee: OmniVision Technologies, Inc.
    Inventor: Jari Hiltunen
  • Patent number: 7936068
    Abstract: A semiconductor device includes in an interconnect structure which includes a first interconnect made of a copper-containing metal, a first Cu silicide layer covering the upper portion of the first interconnect, a conductive first plug provided on the upper portion of the Cu silicide layer and connected to the first interconnect, a Cu silicide layer covering the upper portion of the first plug, a first porous MSQ film provided over the side wall from the first interconnect through the first plug and formed to cover the side wall of the first interconnect, the upper portion of the first interconnect, and the side wall of the first plug, and a first SiCN film disposed under the first porous MSQ film to contact with the lower portion of the side wall of the first interconnect and having the greater film density than the first porous MSQ film.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: May 3, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7932603
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: April 26, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 7923820
    Abstract: A porous dielectric element is produced by forming a first dielectric and a second dielectric. The second dielectric is dispersed in the first dielectric. The second dielectric is then removed from the second dielectric by using a chemical dissolution. The removal of the second dielectric from the first dielectric leaves pores in the first dielectric. The pores, which are filled with air, improve the overall dielectric constant of the resulting dielectric element.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: April 12, 2011
    Assignee: STMicroelectronics S.A.
    Inventors: Simon Jeannot, Laurent Favennec
  • Patent number: 7919864
    Abstract: An integrated circuit including one or several metallization levels, metal conductive strips and metal contact pads being formed on the last metallization level, the last level being covered with a passivation layer in which are formed openings above the contact pads. The thickness of the pads, at least at the level of their portions not covered by the passivation layer, is smaller than the thickness of said conductive strips.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: April 5, 2011
    Assignee: STMicroelectronics S.A.
    Inventors: Jacky Seiller, Jean-François Revel, Claude Douce
  • Patent number: 7915734
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: March 29, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 7906849
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: March 15, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 7902641
    Abstract: The present invention relates to a semiconductor device. The semiconductor device includes a fluorocarbon film formed on a substrate and a film containing metal formed on the fluorocarbon film, wherein the content amount of fluorine atom on the fluorocarbon film, which contacts the film containing metal, is in a predetermined range.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kikuchi
  • Patent number: 7897507
    Abstract: A microelectronic topography includes a dielectric layer (DL) with a surface higher than an adjacent bulk metal feature (BMF) and further includes a barrier layer (BL) upon the BMF and extending higher than the DL. Another microelectronic topography includes a BL with a metal-oxide layer having a metal element concentration which is disproportionate relative to concentrations of the element within metal alloy layers on either side of the metal-oxide layer. A method includes forming a BL upon a BMF such that portions of a first DL adjacent to the BMF are exposed, selectively depositing a second DL upon the BL, cleaning the topography thereafter, and blanket depositing a third DL upon the cleaned topography. Another method includes polishing a microelectronic topography such that a metallization layer is coplanar with a DL and further includes spraying a deionized water based fluid upon the polished topography to remove debris from the DL.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: March 1, 2011
    Assignee: Lam Research Corporation
    Inventor: Igor C. Ivanov
  • Patent number: 7893537
    Abstract: At least part of an element isolation region, an interlayer insulating film, and a protection insulating film, other than a gate insulating film (silicon oxide film), is formed of carbon fluoride (CFx, 0.3<x<0.6) or hydrocarbon (CHy, 0.8<y<1.2).
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: February 22, 2011
    Assignee: Tohoku Uinversity
    Inventors: Tadahiro Ohmi, Akinobu Teramoto
  • Patent number: 7893538
    Abstract: An insulating-film-forming composition for a semiconductor device comprising an organic silica sol with a carbon atom content of 11 to 17 atom % and an organic solvent is disclosed. The organic silica sol comprises a hydrolysis-condensation product P1 and a hydrolysis-condensation product P2. The hydrolysis-condensation product P1 is obtained by hydrolyzing and condensing (A) a silane monomer comprising a hydrolyzable group and (B) a polycarbosilane comprising a hydrolyzable group in the presence of (C) a basic catalyst, and the hydrolysis-condensation product P2 is obtained by hydrolyzing and condensing (D) a silane monomer comprising a hydrolyzable group.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: February 22, 2011
    Assignee: JSR Corporation
    Inventors: Hisashi Nakagawa, Tatsuya Yamanaka, Masahiro Akiyama, Terukazu Kokubo, Youhei Nobe
  • Patent number: 7883986
    Abstract: This invention includes methods of forming trench isolation. In one implementation, isolation trenches are provided within a semiconductor substrate. A liquid is deposited and solidified within the isolation trenches to form a solidified dielectric within the isolation trenches. The dielectric comprises carbon and silicon, and can be considered as having an elevationally outer portion and an elevationally inner portion within the isolation trenches. At least one of carbon removal from and/or oxidation of the outer portion of the solidified dielectric occurs. After such, the dielectric outer portion is etched selective to and effective to expose the dielectric inner portion. After the etching, dielectric material is deposited over the dielectric inner portion to within the isolation trenches.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: February 8, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Patent number: 7884355
    Abstract: A transistor including a semiconductive layer; and a gate dielectric layer comprising an insulating polymer, characterised in that the insulating polymer is crosslinked and comprises one or more units having a low cohesive-energy-density and one or more crosslinking groups and the insulating polymer includes substantially no residual —OH leaving groups.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: February 8, 2011
    Assignee: Cambridge Enterprise Ltd
    Inventors: Lay-Lay Chua, Peter Kian-Hoon Ho, Henning Sirringhaus, Richard Henry Friend
  • Patent number: 7884479
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: February 8, 2011
    Assignee: Megica Corporation
    Inventor: Mou-Shiung Lin
  • Patent number: 7884357
    Abstract: An organic electronic device which has stable physical properties and which allows easy production is provided. The organic electronic device has a conductive path including fine particles, a first organic semiconductor molecule which has a first conductive type and binds at least two of the fine particles together, and a second organic semiconductor molecule which has a second conductive type and is captured in a state of noncovalent bond in a molecule recognition site that exists among the fine particles.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: February 8, 2011
    Assignee: Sony Corporation
    Inventors: Choi Myung-Seok, Ryoichi Yasuda
  • Patent number: 7880297
    Abstract: A semiconductor chip includes a die mounted on a packaging substrate. The die includes a semiconductor substrate; inter-metal dielectric layers on the semiconductor substrate; levels of metal interconnection, wherein at least two potential equivalent metal traces are formed in a level of the metal interconnection; a passivation layer disposed over the two metal traces, wherein two openings are formed in the passivation layer to expose portions of the two metal traces; a conductive member externally mounted on the passivation layer between the two openings; and a redistribution layer formed over the conductive member.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: February 1, 2011
    Assignee: Mediatek Inc.
    Inventors: Che-Yuan Jao, Sheng-Ming Chang, Ching-Chih Li
  • Patent number: 7879739
    Abstract: Embodiments of the invention provide a method to form a high-k dielectric layer on a group III-V substrate with substantially no oxide of the group III-V substrate between the substrate and high-k dielectric layer. Oxide may be removed from the substrate. An organometallic compound may form a capping layer on the substrate from which the oxide was removed. The high-k dielectric layer may then be formed, resulting in a thin transition layer between the substrate and high-k dielectric layer and substantially no oxide of the group III-V substrate between the substrate and high-k dielectric layer.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: February 1, 2011
    Assignee: Intel Corporation
    Inventors: Willy Rachmady, James Blackwell, Suman Datta, Jack T. Kavalieros, Mantu K. Hudait
  • Patent number: 7880165
    Abstract: Provided is a molecular electronic device including an electrode including a conductive polymer electrode layer. The molecular electronic device includes a first electrode; a funtional molecular active layer, self-assembled on the first electrode, including an electroactive functional group having a cyclic compound; and a second electrode disposed on the functional molecular active layer. The second electrode includes a conductive polymer electrode layer contacting with the functional molecular active layer and a metal electrode layer disposed on the conductive polymer electrode layer. The conductive polymer electrode layer of the second electrode prevents damage to the functional molecular active layer, thereby preventing a short circuit in an ultra-thin molecular electronic device.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: February 1, 2011
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Hyoyoung Lee, Junghyun Lee, Ja Ryong Koo, Mi Hee Jung
  • Patent number: 7875981
    Abstract: To provide an insulating film material that can be advantageously used for forming an insulating film having a low dielectric constant and excellent resistance to damage, such as etching resistance and resistance to liquid reagents, a multilayer interconnection structure in which a parasitic capacitance between the interconnections can be reduced, efficient methods for manufacturing the multilayer interconnection structure, and an efficient method for manufacturing a semiconductor device with a high speed and reliability. The insulating film material contains at least a silicon compound having a steric structure represented by Structural Formula (1) below. where, R1, R2, R3, and R4 may be the same or different and at least one of them represents a functional group containing any of a hydrocarbon and an unsaturated hydrocarbon.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: January 25, 2011
    Assignee: Fujitsu Limited
    Inventors: Yasushi Kobayashi, Yoshihiro Nakata, Shirou Ozaki
  • Patent number: 7863750
    Abstract: In this manufacturing method of a semiconductor device, after a sealing film is applied over an entire surface of a semiconductor wafer and hardened, a second groove for forming a side-section protective film is formed in the sealing film and on the top surface side of the semiconductor wafer. In other words, the sealing film is formed in a state where a groove that causes strength reduction has not been formed on the top surface side of the semiconductor wafer. Since the second groove is formed on the top surface side of the semiconductor wafer after the sealing film is formed, the semiconductor wafer is less likely to warp when the sealing film, made of liquid resin, is hardened.
    Type: Grant
    Filed: July 22, 2009
    Date of Patent: January 4, 2011
    Assignee: Casio Computer Co., Ltd.
    Inventors: Junji Shiota, Taisuke Koroku, Nobumitsu Fujii, Osamu Kuwabara, Osamu Okada
  • Patent number: 7863749
    Abstract: A dense boron-based or phosphorus-based dielectric material is provided. Specifically, the present invention provides a dense boron-based dielectric material comprised of boron and at least one of carbon, nitrogen, and hydrogen or a dense phosphorus-based dielectric comprised of phosphorus and nitrogen. The present invention also provides electronic structures containing the dense boron-based or phosphorus-based dielectric as an etch stop, a dielectric Cu capping material, a CMP stop layer, and/or a reactive ion etching mask in a ULSI back-end-of-the-line (BEOL) interconnect structure. A method of forming the inventive boron-based or phosphorus-based dielectric as well as the electronic structure containing the same are also described in the present invention.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Stephen M. Gates, Robert D. Miller
  • Patent number: 7863705
    Abstract: A bonding pad structure in a semiconductor device includes a contact pad connected to an interconnect, a bonding pad overlying the contact pad with an intervention of an insulating film and exposed from an opening of a passivation film, and an annular contact disposed between the contact pad and the bonding pad for electric connection therebetween. The annular contact encircles the opening as viewed normal to the substrate surface.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: January 4, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Yasushi Yamazaki
  • Patent number: 7863745
    Abstract: A semiconductor device, including a semiconductor substrate where a plurality of functional elements is formed; and a multilayer interconnection layer provided over the semiconductor substrate, the multilayer interconnection layer including a wiring layer mutually connecting the plural functional elements and including an interlayer insulation layer, wherein a region where the wiring layer is formed is surrounded by a groove forming part, the groove forming part piercing the multilayer interconnection layer; and the groove forming part is filled with an organic insulation material.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: January 4, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Ryuji Nomoto, Hirohisa Matsuki
  • Publication number: 20100314767
    Abstract: A self-aligned interconnect structure is provided that includes a first patterned and cured low-k material located on a surface of a substrate, wherein the first patterned and cured low-k material includes at least one first interconnect pattern (via or trench pattern) therein. A second patterned and cured low-k material having at least one second interconnect pattern that is different from the first interconnect pattern is located atop the first patterned and cured low k material. A portion of the second patterned and cured low-k material partially fills the at least one first interconnect within the first patterned and cured low-k material. A conductive material fills the at least one first interconnect pattern and the at least one second interconnect pattern. A method of forming such a self-aligned interconnect structure is also provided.
    Type: Application
    Filed: June 16, 2009
    Publication date: December 16, 2010
    Applicant: International Business Machines Corporation
    Inventors: Shyng-Tsong Chen, Qinghuang Lin, Sampath Purushothaman, Terry A. Spooner, Shawn M. Walsh
  • Patent number: 7847405
    Abstract: In one aspect of the present invention, a semiconductor device may include an inter-wiring dielectric film in which a wiring trench is formed, a metal wiring layer formed in the wiring trench in the inter-wiring dielectric film, a first barrier layer formed on a side surface of the wiring trench, the first barrier layer being an oxide film made from a metal different from a main constituent metal element in the wiring layer, a second barrier layer formed on a side surface of the wiring layer, the second barrier layer having a Si atom of the metal used in the wiring layer, and a gap formed between the first barrier layer and the second barrier layer.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: December 7, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tadayoshi Watanabe, Yumi Hayashi, Takamasa Usui
  • Patent number: 7843066
    Abstract: The present invention provides a semiconductor device capable of preventing occurrence of cracking and the like, taking a large area, where wiring and the like that function as elemental devices can be arranged, within a plurality of interlayer insulation films, and reducing production cost. The semiconductor device according to the present invention has a low dielectric constant film having a dielectric constant of not less than 2.7. In the low dielectric constant film and the like, materials (e.g., a first dummy pattern, a second dummy pattern) with a larger hardness than that of the low dielectric constant film are formed at a part under a pad part.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: November 30, 2010
    Assignee: Renesas Technologies Corporation
    Inventor: Kazuo Tomita
  • Patent number: 7838440
    Abstract: The present invention related to a method for manufacturing a semiconductor device. More particularly, this method describes how to manufacture a semiconductor device having a porous, low dielectric constant layer formed between metal lines, comprising an insulation layer enveloping fillers.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: November 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chang Soo Park
  • Patent number: 7830010
    Abstract: Interconnect structures in which a noble metal-containing cap layer is present directly on a non-recessed surface of a conductive material which is embedded within a low k dielectric material are provided. It has been determined that by forming a hydrophobic surface on a low k dielectric material prior to metal cap formation provides a means for controlling the selective formation of the metal cap directly on the non-recessed surface of a conductive material. That is, the selective formation of the metal cap directly on the non-recessed surface of a conductive material is enhanced since the formation rate of the metal cap on the non-recessed surface of a conductive material is greater than on the hydrophobic surface of the low k dielectric material.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: November 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Satya V. Nitta, Sampath Purushothaman, Muthumanickam Sankarapandian
  • Patent number: 7830013
    Abstract: The present invention aims at providing: a material for forming an adhesion reinforcing layer which can reinforce the adhesion between a low dielectric constant film, especially a low dielectric constant film containing an inorganic material, and other members; an adhesion reinforcing layer formed by the said material and exhibits superior adhesion; a fast and highly reliable semiconductor device having the adhesion reinforcing layer; and a manufacturing method thereof. The material for forming an adhesion reinforcing layer contains at least any one of organoalkoxysilane having a basic functional group, a basic additive and organoalkoxysilane. The adhesion reinforcing layer is formed by the said material. The manufacturing method of a semiconductor device includes a process for forming a low dielectric constant film and, at least before or after the process for forming a low dielectric constant film, a process for forming an adhesion reinforcing layer with the said material.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: November 9, 2010
    Assignee: Fujitsu Limited
    Inventors: Junichi Kon, Ei Yano, Yoshihiro Nakata, Tadahiro Imada
  • Patent number: 7825403
    Abstract: A circuit board includes: a substrate; source and drain electrodes formed on the substrate; an organic semiconductor layer formed on the source and drain electrodes; a gate insulating layer formed on the organic semiconductor layer; and a gate electrode formed on the gate insulating layer, wherein: the substrate includes a first part, a second part, and a third part interposed between the first and second parts and a thickness of the first part or a thickness of the second part is greater than that of the third part; the source electrode is formed on the first part; the drain electrode is formed on the second part; a part of the organic semiconductor layer is formed on the third part; and a thickness of the gate insulating layer disposed on the first and second parts is smaller than that of the gate insulating layer disposed on the third part.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: November 2, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Takashi Aoki
  • Patent number: 7821136
    Abstract: Methods for forming conductive layers. A layer of metal composite is applied on a substrate, comprising a plurality of metal flakes, a plurality of nanometer metal spheres, and a plurality of mixed metal precursors. The plurality of mixed metal precursors comprises a mixture of inorganic salts and organic acidic salts. The layer of metal composite is cured to induce an exothermic reaction, thereby forming a conductive layer on the substrate at a relatively low temperature (<200° C.).
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: October 26, 2010
    Assignee: Industrial Technology Research Institute
    Inventors: Ying-Chang Houng, Hong-Ching Lin, Chi-Jen Shih, Shao-Ju Shih
  • Patent number: 7821038
    Abstract: An integrated circuit chip with reduced IR drop and improved chip performance is disclosed. The integrated circuit chip includes a semiconductor substrate having thereon a plurality of inter-metal dielectric (IMD) layers and a plurality of copper metal layers embedded in respective the plurality of IMD layers; a first passivation layer overlying the plurality of IMD layers and the plurality of copper metal layers; a first power/ground ring of a circuit block of the integrated circuit chip formed in a topmost layer of the plurality of copper metal layers; a second power/ground ring of the circuit block of the integrated circuit chip formed in an aluminum layer over the first passivation layer; and a second passivation layer covering the second power/ground ring and the first passivation layer.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: October 26, 2010
    Assignee: Mediatek Inc.
    Inventors: Ching-Chung Ko, Tao Cheng, Tien-Yueh Liu, Dar-Shii Chou, Peng-Cheng Kao
  • Patent number: 7816790
    Abstract: A semiconductor device includes a semiconductor substrate and low dielectric film wiring line laminated structure portions which are provided in regions on the semiconductor substrate except a peripheral portion thereof. Each of the laminated structure portions has a laminated structure of low dielectric films and a plurality of wiring lines. An insulating film is provided on an upper side of the laminated structure portion. Connection pad portions for electrodes are arranged on the insulating film to be electrically connected to the connection pad portions of uppermost wiring lines of the laminated structure portion. Bump electrodes for external connection are provided on the connection pad portions for the electrodes. A sealing film is provided on the insulating film and on the peripheral portion of the semiconductor substrate. Side surfaces of the laminated structure portions are covered with the insulating film or the sealing film.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: October 19, 2010
    Assignee: Casio Computer Co., Ltd.
    Inventors: Aiko Mizusawa, Osamu Okada, Takeshi Wakabayashi
  • Patent number: 7812453
    Abstract: The present invention proposes a dummy metal fill structure which makes it possible to reduce variations in transistor characteristics as much as possible even if mask misalignment occurs, as well as to ensure the intended planarizing effect of the metal CMP process. The dummy metal fill formed above the gate electrode extends in the gate length direction with both ends thereof protruding from a region corresponding to the gate electrode. Even if a mask for forming a wiring layer is misaligned and the position of the dummy metal fill is misaligned from an intended position, the shape of the dummy metal fill within a region of the gate electrode is kept symmetric with respect to the center of the gate electrode.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: October 12, 2010
    Assignee: Panasonic Corporation
    Inventor: Akio Kiyota
  • Patent number: 7807569
    Abstract: In one embodiment, a semiconductor device comprises a conductive pad formed in a semiconductor substrate. The semiconductor device further includes a conductive pattern overlying a peripheral region of the conductive pad. The conductive pattern has an opening to expose another region of the conductive pad. The semiconductor device also includes a conductive contact extending through the opening. The conductive contact is electrically connected to the conductive pad. As a result, manufacturing cost for the semiconductor device may be reduced while manufacturing throughput may be improved.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Je-Min Park
  • Patent number: 7808107
    Abstract: Circuit elements and wirings constituting a circuit, and first electrodes electrically connected to such a circuit are provided on one main surface of a semiconductor substrate. An organic insulating film is formed on the circuit except for openings on the surfaces of the first electrodes. First and second external connecting electrodes are provided on the organic insulating film. At least one conductive layer for electrically connecting the first and second external connecting electrodes and the first electrodes is placed on the organic insulating film.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: October 5, 2010
    Assignees: Renesas Electronics Corporation, Hitachi ULSI Systems Co., Ltd.
    Inventors: Masao Shinozaki, Kenji Nishimoto, Takashi Akioka, Yutaka Kohara, Sanae Asari, Shusaku Miyata, Shinji Nakazato
  • Patent number: 7803719
    Abstract: A material for passivating a dielectric layer in a semiconductor device has a molecular structure permitting or at least promoting liquid phase metal deposition thereon in a subsequent process step. The contemplated material may be constituted by multiple organic components. A semiconductor device including a layer of the passivating coupling material, and a method of manufacturing such a semiconductor device are also contemplated.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: September 28, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Janos Farkas, Maria Luisa Calvo-Munoz, Srdjan Kordic
  • Patent number: 7800233
    Abstract: A method of manufacturing according to an embodiment of the present invention includes forming a seed metal layer 20a on a supporting substrate 70, forming an interconnect layer 10 including an interconnect 18 on the seed metal layer 20a, removing the supporting substrate 70 after forming the interconnect layer 10, and patterning the seed metal layer 20a thus to form an interconnect 20 after removing the supporting substrate.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: September 21, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Masaya Kawano, Koji Soejima, Yoichiro Kurita
  • Patent number: 7799673
    Abstract: A semiconductor device manufacturing method includes: forming a via pattern in an insulating film by use of an alignment mark of a lower wiring line; forming, by use of an alignment mark of the via pattern, an upper wiring groove pattern in an upper insulating film in which the via pattern is embedded; and repeating etching in a self-aligning manner to form a via and a wiring groove in an insulating film previously stacked under the insulating film in which the via pattern has been formed.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: September 21, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinya Arai, Akihiro Kojima
  • Publication number: 20100230820
    Abstract: A method for fabricating a semiconductor device includes the steps of: forming a plurality of lower interconnections at intervals in a first insulating film; removing a portion of the first insulating film located between the lower interconnections, thereby forming an interconnection-to-interconnection gap; forming a second insulating film over the first insulating film in which the lower interconnections and the interconnection-to-interconnection gap are formed such that an air gap is formed out of the interconnection-to-interconnection gap; and forming, in the second insulating film, a connection portion connected to one of the lower interconnections and an upper interconnection connected to the connection portion. The connection portion is formed to be connected to one of the lower interconnections not adjacent to the air gap.
    Type: Application
    Filed: May 24, 2010
    Publication date: September 16, 2010
    Applicant: Panasonic Corporation
    Inventor: Tetsuya UEDA
  • Patent number: 7796228
    Abstract: A display substrate includes a base substrate having a display area and a peripheral area which surrounds the display area, a pixel electrode formed on the display area, a pad part formed on the peripheral area, an adhesion part formed on the peripheral area and having a plurality of holes formed in an area adjacent to the pad part on the peripheral area and a conductive adhesion member formed on the pad part and the adhesion part to make electrical contact with the pad part and a terminal of an integrated circuit.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: September 14, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Young Kim, Kwan-Wook Jung, Seung-Gyu Tae
  • Patent number: 7795737
    Abstract: Integrated circuits and methods of redistributing bondpad locations are disclosed. In one implementation, a method of redistributing a bondpad location of an integrated circuit includes providing an integrated circuit comprising an inner lead bondpad. A first insulative passivation layer is formed over the integrated circuit. A bondpad-redistribution line is formed over the first insulative passivation layer and in electrical connection with the inner lead bondpad through the first insulative passivation layer. The bondpad-redistribution line includes an outer lead bondpad area. A second insulative passivation layer is formed over the integrated circuit and the bondpad-redistribution line. The second insulative passivation layer is formed to have a sidewall outline at least a portion of which is proximate to and conforms to at least a portion of the bondpad-redistribution line. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: September 14, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Charles M. Watkins
  • Patent number: 7791201
    Abstract: A device including a layered heterostructure with an oxygen-containing material, with a carbon layer and an amorphous oxygen diffusion barrier protecting the carbon layer from etching by oxygen. One or more of a metal, a carbide or an oxide may be in contact with the amorphous oxygen diffusion barrier that has the lowest free energy of oxide formation in the device. Various devices are disclosed as are varieties of carbon allotropes. Methods of protecting carbon, such as diamond from the oxygen etching in processes such as device manufacture are also disclosed.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: September 7, 2010
    Assignee: UChicago Argonne, LLC
    Inventors: Orlando Auciello, John Carlisle, Jennifer Gerbi, James Birrell
  • Patent number: 7786512
    Abstract: A non-volatile memory array includes a multiplicity of memory cells, each of whose area is less than 4 F2 per cell (where F is a minimum feature size), and periphery elements to control the memory cells. The present invention also includes a non-volatile memory array which includes word lines and bit lines generally perpendicular to the word lines, with a word line pitch of less than 2 F. In one embodiment, the word lines are made of polysilicon spacers.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: August 31, 2010
    Assignee: Saifun Semiconductors Ltd.
    Inventors: Ilan Bloom, Boaz Eitan, Rustom Irani
  • Patent number: 7786022
    Abstract: In the invention, a silica sol prepared by hydrolyzing and condensing a silane compound represented by the following formula: Si(OR1)4 or R2nSi(OR3)4-n wherein R1s, R2(s) and R3(s) may be the same or different when a plurality of them are contained in the molecule and each independently represents a linear or branched C1-4 alkyl group in the presence of a hydrophilic basic catalyst and a hydrophobic basic catalyst is used for a conventional porous-film forming composition.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: August 31, 2010
    Assignees: Shin-Etsu Chemical Co., Ltd., Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshitaka Hamada, Fujio Yagihashi, Takeshi Asano, Hideo Nakagawa, Masaru Sasago
  • Patent number: 7772706
    Abstract: A spacer is adjacent to a conductive line. Vias that do not completely land on the conductive line land on the spacer and do not punch through into a volume below the spacer.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: August 10, 2010
    Assignee: Intel Corporation
    Inventors: Sridhar Balakrishnan, Boyan Boyanov
  • Patent number: 7768130
    Abstract: A method for fabricating and back-end-of-line (BEOL) metalization structures includes simultaneous high-k and low-k dielectric regions. An interconnect structure includes a first inter-level dielectric (ILD) layer and a second ILD layer with the first ILD layer underlying the second ILD layer. A plurality of columnar air gaps is formed in the first ILD. The columnar air gap structure is created using a two-phase photoresist material for providing different etching selectivity during subsequent processing.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: August 3, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Tonti, Chih-Chao Yang
  • Patent number: 7768127
    Abstract: The semiconductor device includes a semiconductor substrate, and a multi-layer wiring portion including insulating layers and wiring layers alternately stacked one on another on a main surface of the semiconductor substrate. All of the wiring layers are made of a same basis metal, at least one of the wiring layers contains an additive element, and a concentration of the additive element is lower on an upper layer side than that on a lower layer side.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: August 3, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaki Yamada, Hideki Shibata
  • Patent number: 7763979
    Abstract: The dielectric constants of SiC and SiCN that are currently the subjects of much investigation are both 4.5 to 5 or so and that of SiOC, 2.8 to 3.0 or so. With further miniaturization of the interconnection size and the spacing of interconnections brought about by the reduction in device size, there have arisen strong demands that dielectric constants should be further reduced. Furthermore, because the etching selection ratio of SiOC to SiCN as well as that of SiOC to SiC are small, if SiCN or SiC is used as the etching stopper film, the surface of the metal interconnection layer may be oxidized at the time of photoresist removal, which gives rise to a problem of high contact resistance.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: July 27, 2010
    Assignees: NEC Electronics Corporation, NEC Corporation
    Inventors: Koichi Ohto, Tatsuya Usami, Noboru Morita, Kazuhiko Endo
  • Patent number: RE41980
    Abstract: A plurality of metal wires are formed on an underlying interlayer insulating film. Areas among the metal wires are filled with a buried insulating film of a silicon oxide film with a small dielectric constant (i.e., a first dielectric film), and thus, a parasitic capacitance of the metal wires can be decreased. On the buried insulating film, a passivation film of a silicon nitride film with high moisture absorption resistance (i.e., a second dielectric film) is formed, and thus, a coverage defect can be avoided. A bonding pad is buried in an opening formed in a part of a surface protecting film including the buried insulating film and the passivation film, so as not to expose the buried insulating film within the opening. Thus, moisture absorption through the opening can be prevented.
    Type: Grant
    Filed: November 19, 2007
    Date of Patent: December 7, 2010
    Assignee: Panasonic Corporation
    Inventors: Toshiki Yabu, Mizuki Segawa