Wet Cleaning Only (epo) Patents (Class 257/E21.228)
  • Patent number: 7763517
    Abstract: A method of forming a non-volatile memory cell is provided. The method comprises: (a) providing a substrate; (b) forming a stacking structure on the substrate, the stacking structure at least comprising an oxide-nitride-oxide layer (ONO layer) and a polysilicon layer thereon; (c) patterning the stacking structure to form a plurality of separated stacking units, each two stacking units having an aperture therebetween; (d) forming a source region and a drain region buried in the substrate at two sides of the each stacking unit; (e) forming an oxide layer in the aperture and over the stacking units; and (f) performing a chemical mechanical polishing (CMP) process to remove the oxide layer over the stacking units and outside the aperture.
    Type: Grant
    Filed: February 12, 2007
    Date of Patent: July 27, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Chin-Tsan Yeh, Chih-Hsien Lo, Chin-Ta Su, Kuang-Chao Chen
  • Patent number: 7754612
    Abstract: Methods and apparatuses for removing polysilicon material from a semiconductor workpiece are disclosed. A particular method includes contacting a polishing pad with a semiconductor workpiece having a surface polysilicon material. The method also includes disposing a polishing liquid between the polysilicon material and the polishing pad. The polishing liquid contains an oxidizer that does not include metal elements. The method further includes moving at least one of the semiconductor workpiece and the polishing pad relative to the other while the semiconductor workpiece contacts the polishing pad and the polishing liquid. At least some of the polysilicon material is removed while the polysilicon material contacts the oxidizer in the polishing liquid, as at least one of the semiconductor workpiece and the polishing pad moves relative to the other.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: July 13, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Jin Lu
  • Patent number: 7749909
    Abstract: A method of treating a semiconductor substrate has forming convex patterns over the semiconductor substrate by dry etching, cleaning and modifying a surface of the convex patterns by using chemical, forming a hydrophobic functional surface on the modified surface of the convex patterns, after forming the hydrophobic functional surface, rinsing the semiconductor substrate by using water, drying the semiconductor substrate, and removing the hydrophobic functional group from the hydrophobic functional surface of the convex patterns.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: July 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Tomita, Tatsuhiko Koide, Hisashi Okuchi, Kentaro Shimayama, Hiroyasu Iimori, Linan Ji
  • Publication number: 20100167530
    Abstract: Disclosed is a method for forming a metal line of a semiconductor device. The method includes forming a first photoresist pattern on at least one interlayer dielectric provided on a semiconductor substrate, etching the interlayer dielectric using the first photoresist pattern to form a trench, removing the first photoresist pattern by ashing, and primarily removing residues left in the trench using a first cleaning solution comprising TMH, H2O2 and H2. Accordingly, copper metal lines with a great thickness of not less than 3 to 5 um can be formed, while preventing generation of voids in the process of forming the diffusion barrier and metal lines, thus advantageously improving yield and reliability of products.
    Type: Application
    Filed: December 21, 2009
    Publication date: July 1, 2010
    Inventor: Chung-Kyung Jung
  • Patent number: 7736997
    Abstract: A flexible electronic device excellent in heat liberation characteristics and toughness and a production method for actualizing thereof in low cost and with satisfactory reproducibility are provided. A protection film is adhered onto the surface of a substrate on which surface a thin film device is formed. Successively, the substrate is soaked in an etching solution to be etched from the back surface thereof so as for the residual thickness of the substrate to fall within the range larger than 0 ?m and not larger than 200 ?m. Then, a flexible film is adhered onto the etched surface of the substrate, and thereafter the protection film is peeled to produce a flexible electronic device.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: June 15, 2010
    Assignee: NEC Corporation
    Inventor: Kazushige Takechi
  • Patent number: 7709277
    Abstract: A wet-etch composition may include: peracetic acid (PAA); and a fluorinated acid; a relative amount of the PAA in the composition being sufficient to ensure an etch rate of (P-doped-SiGe):(P-doped-Si) that is substantially the same as an etch rate of (N-doped-SiGe):(N-doped-Si). Such a wet-etch composition is hereafter referred to as a PAA-based etchant and can be used to make, e.g., a CMOS MBCFET, an electrode of a capacitor, etc.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: May 4, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Hung-ho Ko, Chang-ki Hong, Sang-jun Choi
  • Publication number: 20100099258
    Abstract: A semiconductor device cleaning method includes etching one of a semiconductor substrate for forming a contact hole in the semiconductor substrate and the bottom of the contact hole on a semiconductor substrate, and cleaning the semiconductor substrate with a cleaning solution, wherein the cleaning solution includes a mixture of sulfuric acid (H2SO4), hydrogen peroxide (H2O2) and hydrogen fluoride (HF). Cleaning the semiconductor substrate may include clamping the semiconductor substrate on a spin chuck, cleaning the semiconductor substrate by spraying the semiconductor substrate with the cleaning solution while spinning the semiconductor substrate using the spin chuck, spraying and rinsing the semiconductor substrate with deionized water while spinning the semiconductor substrate, and drying the semiconductor substrate by continuing to spin the semiconductor substrate.
    Type: Application
    Filed: October 9, 2009
    Publication date: April 22, 2010
    Inventor: Yong-Su Hoh
  • Patent number: 7700497
    Abstract: A two-step via cleaning process that removes metal polymer and oxide polymer residues from a via with substantially no damage to the via or underlying structures on a semiconductor substrate. The via is formed through a dielectric layer and a barrier layer that are disposed over a metal-containing trace disposed on a semiconductor substrate. Sidewalls of the via may be coated with a residue layer including a distinct oxide polymer component and a distinct metal polymer component. The two-step via cleaning process comprises subjecting the residue layer to a nitric acid dip that removes the metal polymer component to expose the oxide polymer component. The oxide polymer component is then subjected to a phosphoric acid dip that removes the oxide polymer component. The oxide polymer and metal polymer residues may also be removed during the fabrication of the via by removing them directly after their respective formations.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: April 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Publication number: 20100055924
    Abstract: Chemical etching methods and associated modules for performing the removal of metal from the edge bevel region of a semiconductor wafer are described. The methods and systems provide the thin layer of pre-rinsing liquid before applying etchant at the edge bevel region of the wafer. The etchant is less diluted and diffuses faster through a thinned layer of rinsing liquid. An edge bevel removal embodiment involving that is particularly effective at reducing process time, narrowing the metal taper and allowing for subsequent chemical mechanical polishing, is disclosed.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 4, 2010
    Inventors: Kousik Ganesan, Shanthinath Ghongadi, Tariq Majid, Aaron Labrie, Steven T. Mayer
  • Publication number: 20100029085
    Abstract: A cleaning composition of a semiconductor device for laminating an organosiloxane-based thin film and a photoresist layer in this order on a substrate having a low dielectric interlayer insulation film and a copper wiring or a copper alloy wiring, then applying selective exposure and development treatments to the subject photoresist layer to form a photoresist pattern, subsequently applying a dry etching treatment to the organosiloxane-based thin film and the low dielectric interlayer insulation film while using this resist pattern as a mask and then removing the organosiloxane-based thin film, a residue generated by the dry etching treatment, a modified photoresist having been modified by the dry etching treatment and an unmodified photoresist layer located in a lower layer than the modified photoresist, the cleaning composition containing from 15 to 20% by mass of hydrogen peroxide, from 0.0001 to 0.003% by mass of an amino polymethylene phosphonic acid, from 0.02 to 0.
    Type: Application
    Filed: March 6, 2008
    Publication date: February 4, 2010
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Hiroshi Matsunaga, Masaru Ohto, Hideo Kashiwagi, Hiroshi Yoshida
  • Publication number: 20100007031
    Abstract: The invention provides an agent for post-etch treating a silicon dielectric film, including: at least one nitrogen-containing substance selected from the group consisting of ammonium bases and amine compounds; an acid; and at least one silicon-containing compound containing silicon, carbon and hydrogen. According to the present invention, it becomes possible to suppress an increase in the dielectric constant of a silicon dielectric film caused by etching.
    Type: Application
    Filed: September 15, 2009
    Publication date: January 14, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Yasushi Kobayashi, Kouta Yoshikawa, Yoshihiro Nakata, Tadahiro Imada, Shirou Ozaki
  • Patent number: 7592267
    Abstract: This invention provides a method for manufacturing a semiconductor silicon substrate by use of carbon dioxide in a supercritical state, which method is capable of making the semiconductor silicon substrate highly reliable one. Specifically, this invention provides a method for manufacturing a semiconductor silicon substrate including at least two of: a cleaning step of cleaning a substrate to be treated in a presence of carbon dioxide in a supercritical state; a film forming step of forming at least one of a conducting film, an insulating film and barrier film on the substrate to be treated in the presence of carbon dioxide in the supercritical state; an etching step of etching the substrate to be treated in the presence of carbon dioxide in the supercritical state; and a resist removing step of removing a resist on the substrate to be treated in the presence of carbon dioxide in the supercritical state.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: September 22, 2009
    Assignee: Elpida Memory Inc.
    Inventor: Hiroyuki Ode
  • Patent number: 7582539
    Abstract: The present invention provides methods of cleaning a semiconductor device by removing contaminants, such as particles and/or etching by-products, from a structure of a semiconductor device using a first cleaning solution including a mixture of ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2) and deionized (DI) water, and a second cleaning solution including ozone (O3) water. The present invention also provides methods of manufacturing a semiconductor device using these methods of cleaning the semiconductor device.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: September 1, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Keum-Joo Lee, Jin-Hye Bae, Dae-Keun Kang
  • Patent number: 7579253
    Abstract: Bottom electrodes of stacked capacitor DRAM cells are formed by depositing a metal layer on the side walls of trenches within a hard mask layer, which serves as a mold for the bottom electrode elements. Prior to depositing the hard mask layer a sacrificial first metal layer is disposed, which results in an electrically conductive surface on the semiconductor wafer. The mask layer is wet-etched to release the bottom electrode as free standing elements on the semiconductor surface. Using the conductive path provided by the first and the second metal layers, the bottom electrodes are polarized in a cleaning liquid bath during a wafer drying process. The generated repulsive electric field overcomes the attractive forces between the neighboring bottom electrode elements induced due to capillary effects of the liquids used for etching and cleaning.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: August 25, 2009
    Assignee: Infineon Technologies AG
    Inventor: Srivatsa Kundalgurki
  • Patent number: 7560313
    Abstract: The present invention provides a SOI wafer produced by an ion implantation delamination method wherein a width of a SOI island region in a terrace portion generated in an edge portion of the SOI wafer where a surface of a base wafer is exposed is narrower than 1 mm and a density of pit-shaped defects having a size of 0.19 ?m or more existing in a surface of a SOI layer detected by a LPD inspection is 1 counts/cm2 or less, and also provides a method for producing the SOI wafer. Thereby, there is provided a SOI wafer produced by an ion implantation delamination method wherein generation of SOI islands generated in delamination can be suppressed and a defect density of LPDs existing in a surface of the SOI wafer can be reduced, and a method for producing the same, so that device failure can be reduced.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: July 14, 2009
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Hiroji Aga, Kiyoshi Mitani
  • Patent number: 7560369
    Abstract: The present invention provides a method of forming metal lines in a semiconductor device having advantages of preventing an “explosion” phenomenon during a dual damascene process so as to improve the yield of the device. An exemplary embodiment of the present invention includes removing etching residues by wet cleaning the semiconductor substrate after forming the via hole, dry cleaning the semiconductor substrate after the wet cleaning, and forming a second metal line that is electrically connected with the first metal line through the via hole.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: July 14, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jea-Hee Kim
  • Publication number: 20090137118
    Abstract: Initially, an interconnection 5w that contains copper is formed on a semiconductor substrate 1 (step (A)). On the interconnection 5w, an etching stopper film 6es is formed (step (B)). On the etching stopper film 6es, an insulating layer 6 is formed (step (C)). In the insulating layer 6, a via hole 6v that reaches the etching stopper film 6es is formed (step (D)). A surface of each of via hole 6v and the insulating layer 6 is cleaned with an organic solvent C (step (E)). The etching stopper film 6es is removed such that the interconnection 5w is exposed (step (F)). An interconnection 6w that electrically connects to the exposed interconnection 5w is further formed (step (G)). It is thereby possible to obtain a method of manufacturing a semiconductor device, including a cleaning step that can suppress corrosion of an interconnection that contains copper.
    Type: Application
    Filed: October 9, 2008
    Publication date: May 28, 2009
    Inventors: Yusaku Hirota, Itaru Kanno
  • Publication number: 20090093123
    Abstract: Provided is a spin head for supporting a substrate. The spin head includes a rotatable body, and chuck pins protruding upward from the body and configured to support an edge of a substrate placed at the body when the body is rotated. Each of the chuck pins includes a vertical rod vertically disposed at the body, and a support rod extending from a side of the vertical rod and configured to make contact with the edge of the substrate placed at the body when the body is rotated. When the substrate is rotated, the vertical rod is spaced apart from the edge of the substrate. The contact portion includes a streamlined side surface. The support rod includes a contact portion. The contact portion tapers toward the end of the support rod when viewed from the top of the support rod.
    Type: Application
    Filed: August 4, 2008
    Publication date: April 9, 2009
    Inventors: Woo-Seok Lee, Woo-Young Kim, Jeong-Yong Bae
  • Patent number: 7498213
    Abstract: Methods of fabricating a semiconductor device can include forming at least one layer on a first and a second side of a semiconductor substrate. Portions of the at least one layer may be removed on the first side of the semiconductor substrate to form a pattern of the at least one layer on the first side of the substrate while the at least one layer is maintained on the second side of the substrate. A capping layer can be formed on the pattern of the at least one layer on the first side of the substrate and on the at least one layer on the second side of the semiconductor substrate. The capping layer can be removed on the second side of the semiconductor substrate, thereby exposing the at least one layer on the second side of the substrate while maintaining the capping layer on the first side of the substrate.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: March 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Jin Kim, Young-Wook Park, Jeong-Do Ryu
  • Publication number: 20090039274
    Abstract: A semiconductor wafer is radiated with an electron beam so that the inelastic scattering takes place in the narrow region, and current flows out from the narrow region; the amount of current is dependent on the substance or substances in the narrow region so that the analyst evaluates the degree of contamination on the basis of the substance or substances specified in the narrow region.
    Type: Application
    Filed: October 9, 2008
    Publication date: February 12, 2009
    Applicant: TOPCON Corporation
    Inventors: Takeo USHIKI, Keizo Yamada, Yohsuke Itagaki, Tohru Tsujide
  • Publication number: 20090023231
    Abstract: Surface treatment is performed with a liquid, while shielding a semiconductor surface from light. When the method is employed for surface treatment in wet processes such as cleaning, etching and development of the semiconductor surface, increase of surface microroughness can be reduced. Thus, electrical characteristics and yield of the semiconductor device are improved.
    Type: Application
    Filed: January 30, 2007
    Publication date: January 22, 2009
    Inventors: Tadahiro Ohmi, Hitoshi Morinaga
  • Patent number: 7470631
    Abstract: A two-step via cleaning process that removes metal polymer and oxide polymer residues from a via with substantially no damage to the via or underlying structures on a semiconductor substrate. The via is formed through a dielectric layer and a barrier layer that are disposed over a metal-containing trace, pad, or other such circuitry, wherein the metal-containing trace, pad, or other circuitry is disposed on a semiconductor substrate. When such a via is formed, the sidewalls of the via are coated with a residue layer. The residue layer generally has a distinct oxide polymer component and a distinct metal polymer component. The two-step cleaning process comprises first subjecting the residue layer to a nitric acid dip that removes the metal polymer component to expose the oxide polymer component. The oxide polymer component is then subjected to a phosphoric acid dip that removes the oxide polymer component.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: December 30, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Publication number: 20080308122
    Abstract: Semiconductor wafers are cleaned, dried, and hydrophilized the following steps in the order stated: a) treating the semiconductor wafer with a liquid aqueous solution containing hydrogen fluoride, the semiconductor wafer rotating about its center axis at least occasionally, and b) drying the semiconductor wafer by rotation of the semiconductor wafer about its center axis at a rotational speed of 1000 to 5000 revolutions per minute in an ozone-containing atmosphere, the liquid aqueous solution containing hydrogen fluoride flowing away from the semiconductor wafer on account of the centrifugal force generated by the rotation, and the surface of the semiconductor wafer being hydrophilized by ozone.
    Type: Application
    Filed: June 6, 2008
    Publication date: December 18, 2008
    Applicant: SILTRONIC AG
    Inventors: Guenter Schwab, Clemens Zapilko, Thomas Buschhardt, Diego Feijoo
  • Publication number: 20080261410
    Abstract: A method for forming a high-K material layer in a semiconductor device fabrication process including providing a silicon semiconductor substrate or thermally growing interfacial oxide layer comprising silicon dioxide over the silicon substrate; treating with an aqueous base solution or nitridation and depositing a high-K material layer.
    Type: Application
    Filed: June 25, 2008
    Publication date: October 23, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ming-Ho Yang, Liang-Gei Yao, Shih-Chang Chen
  • Publication number: 20080242013
    Abstract: A semiconductor device and a method of manufacturing such a semiconductor device having a field effect transistor with improved current driving performance (e.g., an increase of drain current) of the field effect transistor comprising the steps of ion implanting an element from the main surface to the inside of a silicon layer as a semiconductor substrate to a level shallower than the implantation depth of the impurities in the step of forming the semiconductor region before the step of ion implanting impurities from the main surface to the inside of the silicon layer as a semiconductor substrate to form the semiconductor region being aligned with the gate electrode.
    Type: Application
    Filed: May 1, 2008
    Publication date: October 2, 2008
    Inventors: Katsuhiro Mitsuda, Mitsuharu Honda, Akira Ilzuka
  • Patent number: 7427559
    Abstract: According to one aspect of the invention, a method of constructing a memory array is provided. An insulating layer is formed on a semiconductor wafer. A first metal stack is then formed on the insulating layer and etched to form first metal lines. A polymeric layer is formed over the first metal lines and the insulating layer. A puddle of smoothing solvent is then allowed to stand on the wafer. The smoothing solvent is then removed. After the smoothing solvent is removed, the polymeric layer has a reduced surface roughness. A second metal stack is then formed on the polymeric layer and etched to form second metal lines.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: September 23, 2008
    Assignee: Intel Corporation
    Inventors: Michael J. Leeson, Ebrahim Andideh
  • Publication number: 20080214013
    Abstract: The invention provides a single-step method for removing bulk metal contamination from III-V semiconductor substrates. The method comprises immersing a metal contaminated III-V semiconductor substrate in a mixture of sulfuric acid and peroxide with a volume ratio of sulfuric acid to peroxide (e.g., hydrogen peroxide) between about 3:1 and about 9:1. After treating the III-V semiconductor substrates with the sulfuric acid-peroxide mixture, the bulk metal contamination may be substantially removed from the substrate while a surface roughness of the substrate after treatment of below about 0.5 nm RMS (2 ?m×2 ?m) is obtained. The invention further provides a method for manufacturing a semiconductor device by removing bulk metal contamination according to the single-step method of the invention before performing processing steps for forming the semiconductor device.
    Type: Application
    Filed: January 28, 2008
    Publication date: September 4, 2008
    Applicant: Interuniversitair Microelektronica Centrum vzw (IMEC)
    Inventors: Sonja Sioncke, Marc Meuris
  • Publication number: 20080207005
    Abstract: When a semiconductor wafer bears porous dielectric materials it is still possible to perform post-via-etch cleaning of the wafer using aqueous cleaning fluids if, before and/or simultaneously with application of the aqueous cleaning fluid(s), a water-soluble organosilane or like passivation material is used to form a passivation layer on the porous dielectric material.
    Type: Application
    Filed: February 2, 2005
    Publication date: August 28, 2008
    Applicant: Freescale Semiconductor, Inc.
    Inventor: Janos Farkas
  • Publication number: 20080194071
    Abstract: A method of forming a non-volatile memory cell is provided. The method comprises: (a) providing a substrate; (b) forming a stacking structure on the substrate, the stacking structure at least comprising an oxide-nitride-oxide layer (ONO layer) and a polysilicon layer thereon; (c) patterning the stacking structure to form a plurality of separated stacking units, each two stacking units having an aperture therebetween; (d) forming a source region and a drain region buried in the substrate at two sides of the each stacking unit; (e) forming an oxide layer in the aperture and over the stacking units; and (f) performing a chemical mechanical polishing (CMP) process to remove the oxide layer over the stacking units and outside the aperture.
    Type: Application
    Filed: February 12, 2007
    Publication date: August 14, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chin-Tsan Yeh, Chih-Hsien Lo, Chin-Ta Su, Kuang-Chao Chen
  • Publication number: 20080194110
    Abstract: This invention provides methods of fabricating semiconductor devices, wherein an alloy layer is formed on a semiconductor substrate to form a substrate structure, which methods include using an aqueous solution diluted ammonia and peroxide mixture (APM) to perform cleaning and/or wet etching treatment steps on the substrate structure.
    Type: Application
    Filed: February 15, 2008
    Publication date: August 14, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Doo-Won Kwon, Hyung-Ho Ko, Chang-Sup Mun, Woo-Gwan Shim, Im-Soo Park, Yu-Kyung Kim, Jeong-Nam Han
  • Publication number: 20080146038
    Abstract: According to one aspect of the invention, a wafer processing apparatus is provided. The wafer processing apparatus may include a wafer support, a dispense head, and a solvent bath. The dispense head may be moveable between a position over the wafer support and a position over the solvent bath. When the dispense head is positioned over the solvent bath, a fluid dispensed from the dispense head may enter a drain and nozzles on the dispense head may be exposed to a controlled atmosphere within a chamber of the solvent bath.
    Type: Application
    Filed: February 15, 2008
    Publication date: June 19, 2008
    Inventor: Andrew P. Nguyen
  • Patent number: 7384834
    Abstract: A semiconductor device and a method of manufacturing such a semiconductor device having a field effect transistor with improved current driving performance (e.g., an increase of drain current) of the field effect transistor comprising the steps of ion implanting an element from the main surface to the inside of a silicon layer as a semiconductor substrate to a level shallower than the implantation depth of the impurities in the step of forming the semiconductor region before the step of ion implanting impurities from the main surface to the inside of the silicon layer as a semiconductor substrate to form the semiconductor region being aligned with the gate electrode.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: June 10, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiro Mitsuda, Mitsuharu Honda, Akira Iizuka
  • Patent number: 7365000
    Abstract: Disclosed is a method for fabricating a semiconductor device capable of preventing an inter-layer insulation layer from being damaged during a wet cleaning process due to a density difference created by reliance on a thickness of a SOG layer subjected to a curing process and of overcoming defects caused by an improper contact opening in a certain region and a punch taken place by micro voids of an APL layer. Particularly, the method includes the steps of: forming a plurality of conductive structure on a substrate; forming a spin-on-glass layer; curing the spin-on-glass layer; forming an advanced-planarization-layer on the spin-on-glass layer; and forming a plurality of contact holes by selectively etching the advanced-planarization-layer and the spin-on-glass layer, thereby exposing portions of the substrate.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 29, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Min-Suk Lee
  • Patent number: 7354868
    Abstract: This invention provides methods of fabricating semiconductor devices, wherein an alloy layer is formed on a semiconductor substrate to form a substrate structure, which methods include using an aqueous solution diluted ammonia and peroxide mixture (APM) to perform cleaning and/or wet etching treatment steps on the substrate structure.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: April 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-Won Kwon, Hyung-Ho Ko, Chang-Sup Mun, Woo-Gwan Shim, Im-Soo Park, Yu-Kyung Kim, Jeong-Nam Han
  • Patent number: 7344999
    Abstract: A method for cleaning a substrate on which a silicon layer and a silicon germanium layer are formed and exposed, and method for fabricating a semiconductor device using the cleaning method are disclosed. The cleaning method comprises preparing a semiconductor substrate on which a silicon layer and a silicon germanium layer are formed and exposed; and performing a first cleaning sub-process that uses a first cleaning solution to remove a native oxide layer from the semiconductor substrate. The cleaning method further comprises performing a second cleaning sub-process on the semiconductor substrate after performing the first cleaning sub-process, wherein the second cleaning sub-process comprises using a second cleaning solution. In addition, the second cleaning solution comprises ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), and deionized water (H2O), and the second cleaning solution comprises at least 200 times more deionized water (H2O) than ammonium hydroxide (NH4OH) by volume.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: March 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Sup Mun, Woo-Gwan Shim, Han-Ku Cho, Chang-Ki Hong, Doo-Won Kwon
  • Patent number: 7338909
    Abstract: A method and apparatus for locally etching a substrate area the method including providing a substrate comprising a process surface; depositing a material layer over the process surface; and, applying a wet etchant to cover a targeted etching portion of the process surface while excluding an adjacent surrounding area to selectively etch the material layer overlying the targeted etching portion.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: March 4, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Yu-Liang Lin, Henry Lo, Chung-Long Chang, Gorge Huang, Tony Lu, Gnesh Yeh, Candy Liang, Chun-Hsien Lin, Mei Sheng Zhou, Sunny Su, Ai-Sen Liu, Cheng-Lin Huang, Li-Jui Chen, Shih Che Wang
  • Patent number: 7270130
    Abstract: The present invention provides a method for cleaning semiconductor devices through heterogeneous nucleation of cavitation bubbles. Heterogeneous nucleation is performed by applying sonic energy to a cleaning solution and a phase material in order to remove unwanted particles from semiconductor devices. A surfactant may be added to the phase material and the cleaning solution.
    Type: Grant
    Filed: October 15, 2003
    Date of Patent: September 18, 2007
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Ravikumar Ramachandran, David Lee Rath
  • Publication number: 20070197037
    Abstract: A cleaning sequence usable in semiconductor manufacturing efficiently cleans semiconductor substrates while preventing chemical oxide formation thereon. The sequence includes the sequence of: 1) treating with an HF solution; 2) treating with pure H2SO4; 3) treating with an H2O2 solution; 4) a DI water rinse; and 5) treatment with an HCl solution. The pure H2SO4 solution may include an H2SO4 concentration of about ninety-eight percent (98%) or greater. After the HCl solution treatment, the cleaned surface may be a silicon surface that is free of a chemical oxide having a thickness of 5 angstroms or greater. The invention finds particular advantage in semiconductor devices that utilize multiple gate oxide thicknesses.
    Type: Application
    Filed: February 21, 2006
    Publication date: August 23, 2007
    Inventors: Matt Yeh, Shun Lin, Chi-Chun Chen, Shih-Chang Chen
  • Publication number: 20070123054
    Abstract: Improved nanocoils, systems and methods for fabricating nanocoils. Embodiments enable wet etching techniques for releasing coiling arm structures and forming nanocoils. A method for fabricating nanocoils includes providing a silicon-on-insulator (SOI) wafer in which SOI wafer includes a buried oxide layer, patterning one or more devices onto a silicon device layer on top of the buried oxide layer, depositing a tensile stressed layer on the silicon device layer so that stressed layer and silicon device layer form a stressed coiling bi-layer, patterning a coiling arm structure on the stressed coiling bi-layer, depositing a metal encapsulation layer on the stressed coiling bi-layer, and releasing the coiling arm structure so that coiling arm coils to form nanocoil.
    Type: Application
    Filed: September 21, 2006
    Publication date: May 31, 2007
    Inventors: Garrett Storaska, Robert Howell
  • Patent number: 7176041
    Abstract: A wet-etch composition may include: peracetic acid (PAA); and a fluorinated acid; a relative amount of the PAA in the composition being sufficient to ensure an etch rate of (P-doped-SiGe):(P-doped-Si) that is substantially the same as an etch rate of (N-doped-SiGe):(N-doped-Si). Such a wet-etch composition is hereafter referred to as a PAA-based etchant and can be used to make, e.g., a CMOS MBCFET, an electrode of a capacitor, etc.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: February 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Hyung-ho Ko, Chang-ki Hong, Sang-jun Choi
  • Patent number: 7157415
    Abstract: A new cleaning chemistry based on a choline compound, such as choline hydroxide, is provided in order to address the problem of dual damascene fabrication. An etch stop inorganic layer at the bottom of a dual damascene structure protects the underlying interconnect of copper and allows a better cleaning. A two step etch process utilizing the etch stop layer is used to achieve the requirements of ULSI manufacturing in a dual damascene structure.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: January 2, 2007
    Assignee: EKC Technology, Inc.
    Inventors: Catherine M. Peyne, David J. Maloney, Shihying Lee, Wai Mun Lee, Leslie W. Arkless
  • Patent number: 7125784
    Abstract: The present invention relates to a method for forming an isolation film in a semiconductor device. After a trench for isolation is formed, a polymer film is stripped by a post cleaning process using BFN. A pre-treatment cleaning process using only SC-1 is performed and a sidewall oxidization process is then carried out. It is therefore possible to improve fail of the roughness of the trench sidewall and to easily strip polymer. Furthermore, since a conventional PET process is omitted, an isolation film manufacturing process is simplified. It is also possible to prohibit out-diffusion of dopants injected into a semiconductor substrate through a pre-treatment cleaning process using CLN N before the sidewall oxidization process. Incidentally, by forming a slope at the top corner of the trench, it is possible to prevent a gate oxide film thinning phenomenon that the gate oxide film thinner than a desired thickness is deposited at the trench corner.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: October 24, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cha Deok Dong, II Keoun Han
  • Patent number: 6887796
    Abstract: The invention relates to a method of manufacturing a semiconductor device comprising the step of removing a silicon and nitrogen containing material by means of wet etching with an aqueous solution comprising hydrofluoric acid in a low concentration, the aqueous solution being applied under elevated pressure to reach a temperature above 100° C.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: May 3, 2005
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dirk Maarten Knotter, Johannes Van Wingerden, Madelon Gertruda Josephina Rovers