Characterized By Process Involved To Create Mask, E.g., Lift-off Mask, Sidewall, Or To Modify The Mask, E.g., Pre-treatment, Post-treatment (epo) Patents (Class 257/E21.235)
  • Patent number: 7927901
    Abstract: A method for fabricating a light emitting diode chip is provided. In the method, a half-tone mask process, a gray-tone mask process or a multi-tone mask process is applied and combined with a lift-off process to further reduce process steps of the light emitting diode chip. In the present invention, some components may also be simultaneously formed by an identical process to reduce the process steps of the light emitting diode chip. Consequently, the fabricating method of the light emitting diode provided in the present invention reduces the cost and time for the fabrication of the light emitting diode.
    Type: Grant
    Filed: October 16, 2008
    Date of Patent: April 19, 2011
    Assignee: Lextar Electronics Corp.
    Inventors: Kuo-Lung Fang, Chien-Sen Weng, Chih-Wei Chao
  • Patent number: 7902006
    Abstract: In manufacturing a thin film transistor array substrate, a passivation film is formed over the transistors. A first photoresist pattern is formed over the passivation film, with a first portion partially overlying at least one source/drain electrode of each transistor and overlying each pixel electrode region, and with a second portion thicker than the first portion. The passivation film is patterned using the first photoresist pattern as a mask. The first photoresist pattern's first portion is removed to form a second photoresist pattern which protrudes upward around the pixel electrode regions. A transparent conductive film is formed with recesses in the pixel electrode regions. A masking pattern is formed over the transparent film in each pixel electrode region, the masking pattern's top surface being below a top of the transparent film. The transparent film is patterned using the masking pattern as a mask to form the pixel electrodes.
    Type: Grant
    Filed: May 6, 2009
    Date of Patent: March 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong-Kwon Kim, Ho-Jun Lee, Hong-Kee Chin, Sang-Heon Song, Jung-Suk Bang, Jun-Ho Song, Byeong-Jae Ahn, Bae-Heuk Yim
  • Patent number: 7875501
    Abstract: A backgrinding machine 10 of a semiconductor wafer W includes: a table 13 set on the working plane of a mount 11; a multiple number of holding jigs 20 arranged via check tables 15 on table 13; a grinding machine 30 for performing a grinding process of the rear side of semiconductor wafer W held by holding jig 20; and a washing device 40 for ground semiconductor wafers W. Each holding jig 20 is constructed of a concave 22 depressed on the surface of a base plate 21, a multiple number of supporting projections 23 projectively arrayed on the bottom surface of concave 22, a deformable contact film 24, covering the concave 22, being supported by the multiple supporting projections 23, for detachably holding semiconductor wafer W in close contact with it; and an exhaust path 25 for conducting air from the concave 22 covered by contact film 24 to the outside.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: January 25, 2011
    Assignees: Shin-Etsu Polymer Co., Ltd., Lintec Corporation
    Inventors: Kiyofumi Tanaka, Satoshi Odashima, Noriyoshi Hosono, Hironobu Fujimoto, Takeshi Segawa
  • Publication number: 20110014790
    Abstract: Methods are provided for fabricating a semiconductor device on and in a semiconductor substrate. In one embodiment, a method comprises the steps of forming etch masking features overlying the semiconductor substrate, the etch masking features having a first thickness, and forming an etch barrier layer overlying the substrate, the etch barrier layer having a second thickness less than or substantially equal to the first thickness. The method also comprises removing the etch masking features to expose the substrate, and etching the substrate using the etch barrier layer as an etch mask.
    Type: Application
    Filed: July 20, 2009
    Publication date: January 20, 2011
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Ryoung-Han KIM
  • Patent number: 7871914
    Abstract: A semiconductor device includes a semiconductor substrate having a recess therein. A gate insulator is disposed on the substrate in the recess. The device further includes a gate electrode including a first portion on the gate insulator in the recess and a second reduced-width portion extending from the first portion. A source/drain region is disposed in the substrate adjacent the recess. The recess may have a curved shape, e.g., may have hemispherical or ellipsoid shape. The source/drain region may include a lighter-doped portion adjoining the recess. Relate fabrication methods are also discussed.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: January 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Ho Kim, Chang-Sub Lee, Jeong-Dong Choe, Sung-Min Kim, Shin-Ae Lee, Dong-Gun Park
  • Publication number: 20100317196
    Abstract: A method for manufacturing a semiconductor device, includes: forming a first resist on a workpiece; patterning the first resist by performing selective exposure, baking, and development on the first resist; forming a second resist on the workpiece after the patterning the first resist; patterning the second resist by performing selective exposure, baking, and development on the second resist to selectively remove a part of the second resist and remove the first resist left on the workpiece; and processing the workpiece by using the patterned second resist as a mask.
    Type: Application
    Filed: February 25, 2010
    Publication date: December 16, 2010
    Inventor: Hiroko NAKAMURA
  • Patent number: 7851244
    Abstract: Systems and methods for MEMS device fabrication. A layer of photoresist is formed on a substrate. A first region of the substrate is exposed to a radiation source through a photomask. The first region of exposed photoresist is developed with a developer solution in order to etch the exposed regions to a first depth. A second region is exposed to radiation through a second photomask. The second photomask defines areas in which a bump feature is intended on the substrate. The second region is developed with the developer solution, preparing the first and second exposed regions for a layer of metal. A layer of metal is deposited on the substrate, such that the metal attaches to both the substrate and any remaining photoresist on the substrate. The remaining photoresist and its attached metal is dissolved away leaving an interconnect pattern and at least one bump feature.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: December 14, 2010
    Assignee: Honeywell International Inc.
    Inventor: Jeff A. Ridley
  • Patent number: 7825484
    Abstract: A micromachined microphone is formed from a silicon or silicon-on-insulator (SOI) wafer. A fixed sensing electrode for the microphone is formed from a top silicon layer of the wafer. Various polysilicon microphone structures are formed above a front side of the top silicon layer by depositing at least one oxide layer, forming the structures, and then removing a portion of the oxide underlying the structures from a back side of the top silicon layer through trenches formed through the top silicon layer. The trenches allow sound waves to reach the diaphragm from the back side of the top silicon layer. In an SOI wafer, a cavity is formed through a bottom silicon layer and an intermediate oxide layer to expose the trenches for both removing the oxide and allowing the sound waves to reach the diaphragm. An inertial sensor may be formed on the same wafer, with various inertial sensor structures formed at substantially the same time and using substantially the same processes as corresponding microphone structures.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: November 2, 2010
    Assignee: Analog Devices, Inc.
    Inventors: John R. Martin, Timothy J. Brosnihan, Craig Core, Thomas Kieran Nunan, Jason Weigold, Xin Zhang
  • Publication number: 20100267237
    Abstract: Methods are provided for fabricating a semiconductor device on and in a semiconductor substrate. In accordance with an exemplary embodiment of the invention, one method comprises forming a sacrificial mandrel overlying the substrate, the sacrificial mandrel having sidewalls. Sidewall spacers are formed adjacent the sidewalls of the sacrificial mandrel. The sacrificial mandrel is removed using an ashing process, and the substrate is etched using the sidewall spacers as an etch mask after removal of the sacrificial mandrel.
    Type: Application
    Filed: April 20, 2009
    Publication date: October 21, 2010
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Douglas J. Bonser, Frank S. Johnson, Catherine B. Labelle
  • Publication number: 20100267238
    Abstract: Methods of fabricating a semiconductor device on and in a semiconductor substrate are provided. In accordance with an exemplary embodiment of the invention, one method comprises forming a sacrificial mandrel overlying the substrate, wherein the sacrificial mandrel has sidewalls. Sidewall spacers are formed adjacent the sidewalls of the sacrificial mandrel, the sidewall spacers having an upper portion and a lower portion. The upper portion of the sidewall spacers is removed. The sacrificial mandrel is removed and the semiconductor substrate is etched using the lower portion of the sidewall spacers as an etch mask.
    Type: Application
    Filed: April 20, 2009
    Publication date: October 21, 2010
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Frank S. Johnson, Douglas Bonser
  • Patent number: 7795144
    Abstract: A method for forming an electrode structure in a light emitting device is disclosed. The method includes the steps of: forming a mask material layer having an opening; depositing a first material layer on the mask material layer and on a portion of a compound semiconductor layer exposed through the bottom of the opening by a physical vapor deposition method reducing the particle density so that the mean free path for collision is long; depositing a second material layer on the first material layer on the mask material layer, on the first material layer deposited on the bottom of the opening, and on a portion of the compound semiconductor layer exposed through the bottom of the opening by a vapor deposition method other than the physical vapor deposition method; and removing the mask material layer and the first and second material layers deposited on the mask material layer.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: September 14, 2010
    Assignee: Sony Corporation
    Inventor: Naoki Hirao
  • Patent number: 7781332
    Abstract: Plasma damage in ultra low k dielectric materials during formation of a dual damascene metal interconnect structure is reduced by providing a protective spacer on sidewalls of a line trench. A densified trench bottom region may be additionally formed directly beneath an exposed horizontal surface of the line trench. The protective spacer and/or the densified trench bottom region protects an ultra low k intermetal dielectric layer from plasma damage during a plasma strip process that is used to remove a disposable via fill plug employed in the dual damascene metal interconnect structure.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: August 24, 2010
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga K. Shobha, Terry A. Spooner
  • Patent number: 7772048
    Abstract: A semiconductor device is made by steps of removing portions of a first capping layer, removing portions of a sacrificial layer, recessing sidewalls, and forming fin structures. The step of removing portions of the first capping layer forms a first capping structure that covers portions of the sacrificial layer. The step of removing portions of the sacrificial layer removes portions of the sacrificial layer that are not covered by the first capping structure to define an intermediate structure. The step of recessing the sidewalls recesses sidewalls of the intermediate structure relative to edge regions of the first capping structure to form a sacrificial structure having recessed sidewalls. The step of forming fin structures forms fin structures adjacent to the recessed sidewalls.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: August 10, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert E. Jones, Rickey S. Brownson
  • Patent number: 7767100
    Abstract: A patterning method with a filling material with a T-shaped cross section is used as a mask during patterning to produce structures having sublithographic dimensions, such as a double-fin field effect transistor.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: August 3, 2010
    Assignee: Infineon Technologies AG
    Inventors: Rodger Fehlhaber, Helmut Tews
  • Publication number: 20100173492
    Abstract: Provided is a method of forming patterns of a semiconductor device, whereby patterns having various widths can be simultaneously formed, and pattern density can be doubled by a double patterning process in a portion of the semiconductor device. In the method of forming patterns of a semiconductor device, a first mold mask pattern and a second mold mask patter having different widths are formed on a substrate. A pair of first spacers covering both sidewalls of the first mold mask pattern and a pair of second spacers covering both sidewalls of the second mold mask pattern are formed. The first mold mask pattern and the second mold mask pattern are removed, and a wide-width mask pattern covering the second spacer is formed. A lower layer is etched using the first spacers, the second spacers, and the wide-width mask pattern as an etch mask.
    Type: Application
    Filed: June 9, 2009
    Publication date: July 8, 2010
    Inventors: Bong-cheol Kim, Dae-youp Lee, Sang-youn Jo, Ja-min Koo, Byeong-hwan Son, Jang-hwan Jeong
  • Publication number: 20100167494
    Abstract: A disclosed selective etching method comprises mixing a polymer with carbon nanotubes, applying the mixture to an etching target layer to form a carbon nanotube-polymer composite layer, forming a hard mask by patterning the carbon nanotube-polymer composite layer, such that a part of the etching target layer is selectively exposed, and selectively etching the etching target layer exposed through the hard mask. The polymer preferably includes a photoresist. Also disclosed is a method for forming an isolation structure of a memory device using the selective etching method.
    Type: Application
    Filed: February 12, 2010
    Publication date: July 1, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventor: Dae Jin Park
  • Publication number: 20100144148
    Abstract: A semiconductor device manufacturing method includes designing a resist structure including a film having antireflection function for exposure light and a resist on the film to be formed on a substrate, designing an exposure condition of the resist obtained by exposing and developing the resist such that a resist pattern is finished as designed, obtaining criteria value for estimating influence of a resist pattern upon a dimension or shape of a device pattern, the resist pattern being obtained by exposing the resist under the designed exposure condition and developing the exposed resist, the device pattern being obtained by etching the resist structure using the resist pattern as a mask, determining whether the designed exposure condition is acceptable or not based on the criteria value, and redesigning the exposure condition of the resist without changing the designed resist structure when the designed exposure condition is determined not acceptable.
    Type: Application
    Filed: November 5, 2009
    Publication date: June 10, 2010
    Inventors: Kazuya Fukuhara, Satoshi Nagai
  • Publication number: 20100130011
    Abstract: According to a disclosed semiconductor device fabrication method according to one embodiment of the present invention, a layer having a line-and-space pattern extending in one direction is etched using another layer having a line-and-space pattern extending in another direction intersecting the one direction, thereby obtaining a mask having two-dimensionally arranged dots. An underlying layer is etched using the mask, thereby providing two-dimensionally arranged pillars.
    Type: Application
    Filed: November 23, 2009
    Publication date: May 27, 2010
    Inventors: TETSUO ENDOH, Eiichi Nishimura
  • Publication number: 20100130010
    Abstract: Provided are a method of fabricating a semiconductor device unconstrained by optical limit and an apparatus of fabricating the semiconductor device. The method includes: forming an etch target layer on a substrate; forming a hard mask layer on the etch target layer; forming first mask patterns on the hard mask layer; forming first spacers on sidewalls of the first mask patterns; forming hard mask patterns having an opening by using the first mask patterns and the first spacers as a mask to etch the hard mask layer; aligning second mask patterns on the hard mask patterns to fill the opening; forming second spacers on sidewalls of the second mask patterns; forming fine mask patterns by using the second mask patterns and the second spacers as a mask to etch the hard mask patterns; and forming fine patterns by using the fine mask patterns as a mask to etch the etch target layer.
    Type: Application
    Filed: August 7, 2009
    Publication date: May 27, 2010
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Sahnggi PARK, Kap-Joong Kim, In-Gyoo Kim, Gyungock Kim
  • Patent number: 7718081
    Abstract: A method of etching a substrate is provided. The method of etching a substrate includes transferring a pattern into the substrate using a double patterned amorphous carbon layer on the substrate as a hardmask. Optionally, a non-carbon based layer is deposited on the amorphous carbon layer as a capping layer before the pattern is transferred into the substrate.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Jim Zhongyi He, Sang H. Ahn, Meihua Shen, Hichem M'Saad, Wendy H. Yeh, Christopher D. Bencher
  • Patent number: 7713882
    Abstract: A patterning method for a semiconductor substrate is disclosed. A substrate is provided and a stack structure is laid thereon. The stack layer includes at least a target layer and a pad layer sequentially formed on the substrate. Follow by a lithography process, wherein photoresists are laid on the stack layer to form a plurality of photoresist elements. Thus, a plurality of pattern is formed on the target layer, and a portion of target layer's surface is exposed. Lastly, ion implanting is provided and defines a doped area as hard mask for the etching process.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: May 11, 2010
    Assignee: Nanya Technology Corporation
    Inventors: Chien-Er Huang, Kuo-Yao Cho
  • Patent number: 7709341
    Abstract: A single crystal silicon etching method includes providing a single crystal silicon substrate having at least one trench therein. The single crystal silicon substrate is exposed to an anisotropic etchant that undercuts the single crystal silicon. By controlling the length of the etch, single crystal silicon islands or smooth vertical walls in the single crystal silicon may be created.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: May 4, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, David H. Wells, Patrick Flynn, Whonchee Lee
  • Patent number: 7696079
    Abstract: A method for fabricating a thin film transistor array substrate is provided. Wherein, a plurality of contact holes and recesses are formed in a protection layer disposed upon thin film transistors. Each recess comprises an under-cut profile while each contact hole exposes a drain-metal layer of a corresponding thin film transistor. Then, a transparent conductor layer is formed on the protection layer, which in turn fills in the contact holes so as to be electrically connected to the drain-metal layer. Besides, the transparent conductor layer automatically segregates at the recesses to form a plurality of pixel electrodes, whereby the plurality of pixel electrodes can be formed without the utilization of photolithography and etching processes and thus fabricating cost is lowered.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: April 13, 2010
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Hsi-Ming Chang
  • Patent number: 7682981
    Abstract: The present invention is a method of applying a topographical surface to a part such as a substrate without the need for low temperature softening of that part while retaining high aspect ratios and densely packed features in that topography. A substrate, selected for its ability to be processed at a given desired temperature, has a layer of material applied to its surface. This layer is selected, among other reasons, for its ability to be molded. Typically, it is expected that the substrate will be able to withstand the higher temperatures of semiconductor post-processing whereas the applied layer will be moldable at low temperatures. This combination enables low cost embossing of a topography into this surface layer. The present invention comprises means to transfer this topography from the low temperature material into the higher temperature substrate.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: March 23, 2010
    Assignee: Contour Semiconductor, Inc.
    Inventor: Daniel Robert Shepard
  • Publication number: 20100029085
    Abstract: A cleaning composition of a semiconductor device for laminating an organosiloxane-based thin film and a photoresist layer in this order on a substrate having a low dielectric interlayer insulation film and a copper wiring or a copper alloy wiring, then applying selective exposure and development treatments to the subject photoresist layer to form a photoresist pattern, subsequently applying a dry etching treatment to the organosiloxane-based thin film and the low dielectric interlayer insulation film while using this resist pattern as a mask and then removing the organosiloxane-based thin film, a residue generated by the dry etching treatment, a modified photoresist having been modified by the dry etching treatment and an unmodified photoresist layer located in a lower layer than the modified photoresist, the cleaning composition containing from 15 to 20% by mass of hydrogen peroxide, from 0.0001 to 0.003% by mass of an amino polymethylene phosphonic acid, from 0.02 to 0.
    Type: Application
    Filed: March 6, 2008
    Publication date: February 4, 2010
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Hiroshi Matsunaga, Masaru Ohto, Hideo Kashiwagi, Hiroshi Yoshida
  • Patent number: 7638436
    Abstract: The invention includes a semiconductor processing method. A first material comprising silicon and nitrogen is formed. A second material is formed over the first material, and the second material comprises silicon and less nitrogen, by atom percent, than the first material. An imagable material is formed on the second material, and patterned. A pattern is then transferred from the patterned imagable material to the first and second materials. The invention also includes a structure comprising a first layer of silicon nitride over a substrate, and a second layer on the first layer. The second layer comprises silicon and is free of nitrogen. The structure further comprises a third layer consisting essentially of imagable material on the second layer.
    Type: Grant
    Filed: September 10, 2008
    Date of Patent: December 29, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore
  • Patent number: 7598104
    Abstract: A method of forming a metal contact and passivation of a semiconductor feature, and devices made using the method. The method comprises the steps of forming a dielectric mask on a semiconductor substrate utilising photolithography processes; etching the semiconductor substrate such that one or more features are formed underneath respective portions of the dielectric mask; depositing a passivation layer on the substrate with the dielectric mask in place above the features; subjecting the substrate to an etchant such that the dielectric mask is etched at a higher rate than the passivation layer, whereby portions of the passivation layer deposited on the dielectric mask are lifted off from the substrate; and depositing a metal layer on the substrate including over the remaining passivation layer and exposed portions of the features.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: October 6, 2009
    Assignee: Agency for Science, Technology and Research
    Inventors: Jinghua Teng, Ee Leong Lim, Soo Jin Chua
  • Publication number: 20090246966
    Abstract: For integrated circuit fabrication, at least one spacer support structure is formed in a first area over a semiconductor substrate, and a mask material is deposited on exposed surfaces of the spacer support structure and on a second area over the semiconductor substrate. A masking structure is formed on a portion of the mask material in the second area, and the mask material is patterned to form spacers on sidewalls of the spacer support structure and to form a mask pattern under the masking structure. The spacer support structure and the masking structure are comprised of respective high carbon content materials that have been spin-coated and have substantially a same etch selectivity.
    Type: Application
    Filed: July 9, 2008
    Publication date: October 1, 2009
    Inventors: Nam-Myun Cho, Myeong-Cheol Kim, Shi-Yong Yi, Young-Hoon Song, Young-Ju Park
  • Publication number: 20090246954
    Abstract: A method of manufacturing a semiconductor device, includes forming a plurality of core portions arranged in a predetermined direction, on a to-be-processed film, forming a stacked sidewall portion in which a first sidewall portion and a second sidewall portion are stacked in that order, on each of side surfaces, of each of the core portions, removing the core portions to form a structure having a first space between the adjacent first sidewall portions and a second space between the adjacent second sidewall portions, and retreating at least one of the first sidewall portion and the second sidewall portion by a desired retreat amount to slim the stacked sidewall portion, after removing the core portions.
    Type: Application
    Filed: March 18, 2009
    Publication date: October 1, 2009
    Inventors: Seiro Miyoshi, Hidefumi Mukai, Kazuyuki Masukawa
  • Patent number: 7595554
    Abstract: An interconnect structure with improved performance and capacitance by providing air gaps inside the dielectric layer by use of a multi-phase photoresist material. The interconnect features are embedded in a dielectric layer having a columnar air gap structure in a portion of the dielectric layer surrounding the interconnect features. The interconnect features may also be embedded in a dielectric layer having two or more phases with a different dielectric constant created. The interconnect structure is compatible with current back end of line processing.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: September 29, 2009
    Assignee: International Business Machines Corporation
    Inventor: Chih-Chao Yang
  • Patent number: 7560360
    Abstract: Methods for enhancing trench capacitance and a trench capacitor so formed are disclosed. In one embodiment a method includes forming a first portion of a trench; depositing a dielectric layer in the first portion; performing a reactive ion etching including a first stage to etch the dielectric layer and form a micro-mask on a bottom surface of the first portion of the trench and a second stage to form a second portion of the trench having a rough sidewall; depositing a node dielectric; and filling the trench with a conductor. The rough sidewall enhances trench capacitance without increasing processing complexity or cost.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, David M. Dobuzinsky, Xi Li
  • Publication number: 20090176376
    Abstract: For patterning during integrated circuit fabrication, a first pattern of first masking structures is formed, and a buffer layer is formed on exposed surfaces of the first masking structures. Also, a second pattern of second masking structures is formed in recesses between the buffer layer at sidewalls of the first masking structures. Furthermore, the first and masking structures are formed from spin-coating respective high carbon containing materials. Such first and second masking structures pattern a target layer with higher pitch than possible with traditional photolithography.
    Type: Application
    Filed: July 9, 2008
    Publication date: July 9, 2009
    Inventors: Shi-Yong Yi, Myeong-Cheol Kim, Dong-Ki Yoon, Kyung-Yub Jeon, Ji-Hoon Cha
  • Publication number: 20090170336
    Abstract: A method for forming a pattern of a semiconductor device comprises forming a spacer with an oxide film in a SPT process, and removing the spacer formed to have a horn shape before etching an underlying layer, so that the horn shape is transcribed in a lower portion, thereby facilitating control of critical dimension in etching the underlying layer so as to improve a characteristic of the device. A method for forming a pattern of a semiconductor device of the present invention comprises: forming an underlying layer and a hard mask layer over a semiconductor substrate; forming a sacrificial pattern over the hard mask layer; forming a spacer at both sides of the sacrificial pattern; removing the sacrificial pattern to remain the spacer; etching the hard mask layer with the spacer as a mask to form a hard mask pattern; removing the spacer; and etching the underlying layer with the hard mask pattern as a mask.
    Type: Application
    Filed: June 27, 2008
    Publication date: July 2, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Keun Do Ban, Cheol Kyu Bok
  • Publication number: 20090170322
    Abstract: A method for manufacturing a semiconductor device including a vertical transistor comprises: depositing a n-layered (here, n is an integer ranging from 2 to 6) mask film over a semiconductor substrate; forming a photoresist pattern over the n-layered mask film; etching the mask film with the photoresist pattern as an etching mask until the mth layer (here, m=n?1) mask film is exposed to form a trench; filling an insulating film in the trench; removing the mask film of the insulating film to form an insulating film pattern; and patterning the mth layer mask film with the insulating film pattern as an etching mask until the semiconductor substrate is exposed.
    Type: Application
    Filed: June 30, 2008
    Publication date: July 2, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Cheol Kyu Bok
  • Publication number: 20090168480
    Abstract: A nonvolatile memory device includes a plurality of nonvolatile memory cells arranged in a substantially hexagonal pattern. The nonvolatile memory cells may be pillar shaped non-volatile memory cells which can be patterned using triple or quadruple exposure lithography or by using a self-assembling layer.
    Type: Application
    Filed: December 27, 2007
    Publication date: July 2, 2009
    Inventors: Roy E. Scheuerlein, Christopher J. Petti
  • Patent number: 7544573
    Abstract: First and second impurity doped regions are formed in a semiconductor substrate. A first gate electrode is formed on the first impurity doped region with a first gate insulation film interposed therebetween. A second gate electrode is formed on the second impurity doped region with a second gate insulation film interposed therebetween. A first sidewall insulation film is formed on either side of the first gate electrode. A second sidewall insulation film has a thickness different from that of the first sidewall insulation film and are formed on either side of the second gate electrode. A third sidewall insulation film is formed on the first sidewall insulation film on the side of the first gate electrode. A fourth sidewall insulation films have a thickness different from that of the third sidewall, insulation film and are formed on the second sidewall insulation film on the side of the second gate electrode.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: June 9, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hitoshi Tsuno
  • Publication number: 20090142932
    Abstract: In a method of forming a hard mask pattern in a semiconductor device, only processes for forming patterns having a row directional line shape and a column directional line shape on a plane are performed so that the hard mask patterns can be formed to define densely disposed active regions. A pitch of the hard mask patterns is less than a resolution limit of an exposure apparatus.
    Type: Application
    Filed: June 4, 2008
    Publication date: June 4, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Yung Jung
  • Publication number: 20090104779
    Abstract: An area where a lower electrode is in contact with a variable resistance material needs to be reduced to lower the power consumption of a variable resistance memory device. The present invention is to provide a method of producing a variable resistance memory element whereby the lower electrode can be formed smaller. Combining an anisotropic etching process with an isotropic etching process enables the lower electrode to be formed smaller.
    Type: Application
    Filed: October 17, 2008
    Publication date: April 23, 2009
    Inventors: Akiyoshi Seko, Natsuki Sato, Isamu Asano
  • Patent number: 7521348
    Abstract: A method for fabricating a semiconductor device having fine contact holes is exemplarily disclosed. The method includes forming an isolation layer defining active regions on a semiconductor substrate. An interlayer dielectric layer is formed on the semiconductor substrate having the isolation layer. First molding patterns are formed on the interlayer dielectric layer. Second molding patterns positioned between the first molding patterns and spaced apart therefrom are also formed. A mask pattern surrounding sidewalls of the first and second molding patterns is formed. Openings are formed by removing the first and second molding patterns. Contact holes are formed by etching the interlayer dielectric layer using the mask pattern as an etching mask.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Hyun Kwon, Jae-Hwang Sim, Dong-Hwa Kwak, Joo-Young Kim
  • Patent number: 7521314
    Abstract: A method for forming a semiconductor device includes forming a liner over a semiconductor material including a control electrode. The method further includes forming a first spacer adjacent to the control electrode, wherein the first spacer has a first width. The method further includes implanting current electrode dopants. The method further includes removing the first spacer. The method further includes forming a second spacer adjacent the control electrode, wherein the second spacer has a second width and wherein the second width is less than the first width. The method further includes using the second spacer as a protective mask to selectively remove the liner. The method further includes forming a stressor layer overlying the control electrode and current electrode regions.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: April 21, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Dharmesh Jawarani, Konstantin V. Loiko, Andrew G. Nagy
  • Publication number: 20090075485
    Abstract: A method for forming a fine pattern of a semiconductor device comprises: forming a first hard mask film and an etch barrier film over a semiconductor substrate; forming a sacrificial pattern over the etch barrier film; forming a spacer on sidewalls of the sacrificial pattern; removing the sacrificial pattern; etching the etch barrier film and the hard mask film with the spacer as an etch mask to form an etch barrier pattern and a hard mask pattern; and removing the spacer and the etch barrier pattern, thereby improving yield and reliability of the device.
    Type: Application
    Filed: June 27, 2008
    Publication date: March 19, 2009
    Applicant: Hynix Semiconductor Inc
    Inventors: Keun Do BAN, Jun Hyeub SUN
  • Publication number: 20090068842
    Abstract: A method for forming a semiconductor device includes forming an etch target layer over a substrate, forming a first etch stop layer over the etch target layer, forming a second etch stop layer over the first etch stop layer, forming a first sacrificial layer over the second etch stop layer, forming first sacrificial patterns by selectively etching the first sacrificial layer, forming second sacrificial layer over the second etch stop layer and the first sacrificial patterns, etching the second sacrificial layer and the second etch stop layer until the first sacrificial patterns are exposed and the second sacrificial layer remain only on sidewalls of the first sacrificial patterns, removing the exposed first sacrificial patterns, etching the exposed second etch stop layer mask to define a plurality of first structures, etching the first etch stop layer, and etching the etch target layer.
    Type: Application
    Filed: June 28, 2008
    Publication date: March 12, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Won-Kyu KIM
  • Patent number: 7488673
    Abstract: A trench MOS Schottky barrier device has a metal oxide gate dielectric such as TiSi lining the trench wall to increase the efficiency of the elemental cell and to improve depletion in the mesa during reverse bias. A reduced mask process is used in which a single layer of titanium or other metal is deposited on an underlying gate oxide layer on the trench walls and directly atop the mesa between adjacent trenches. A common thermal treatment causes the Ti to diffuse into the SiO2 gate oxide to form the TiO2 gate and to form the TiSi Schottky barrier on the top surface of the mesa.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: February 10, 2009
    Assignee: International Rectifier Corporation
    Inventors: Carmelo Sanfilippo, Rossano Carta, Giovanni Richieri, Paolo Mercaldi
  • Patent number: 7470606
    Abstract: The invention includes masking methods. In one implementation, a masking material which includes boron doped amorphous carbon is formed over a feature formed on a semiconductor substrate. The masking material includes at least about 0.5 atomic percent boron. The masking material is substantially anisotropically etched effective to form an anisotropically etched sidewall spacer which includes the boron doped amorphous carbon on a sidewall of the feature. The substrate is then processed proximate the spacer while using the boron doped amorphous carbon-including spacer as a mask. After processing the substrate proximate the spacer, the boron doped amorphous carbon-including spacer is etched from the substrate. Other implementations and aspects are contemplated.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: December 30, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej S. Sandhu
  • Publication number: 20080296737
    Abstract: One possible embodiment is a method of manufacturing a structure on or in a substrate with the following steps a) positioning at least one spacer structure by a spacer technique on the substrate, b) using at least one of the groups of the spacer structure and a structure generated by the spacer structure as a mask for a subsequent particle irradiation step for generating a latent image in the substrate c) using the latent image for further processing the substrate.
    Type: Application
    Filed: May 29, 2007
    Publication date: December 4, 2008
    Inventors: Rolf Weis, Christoph Noelscher
  • Publication number: 20080265327
    Abstract: An asymmetric semiconductor device (3) that includes an integrated high voltage diode (72), including: a substrate comprising an epitaxial layer (47) and a deep well implant (42) of a first type patterned above the epitaxial layer; a shallow trench isolation (STI) region (46) separating a cathode from an anode; a first well implant (40) of a second type residing below the anode; and a deep implant mask (34) of the second type patterned above the deep well implant and below both the cathode and a portion of the STI region.
    Type: Application
    Filed: December 12, 2006
    Publication date: October 30, 2008
    Applicant: NXP B.V.
    Inventor: Theodore James Letavic
  • Patent number: 7432161
    Abstract: Methods for forming {110} type facets on a (001) oriented substrate of Group III-V compounds and Group IV semiconductors using selective epitaxial growth is provided. The methods include forming a dielectric film on a (100) substrate. The dielectric film can then be patterned to expose a portion of the substrate and to form a substrate-dielectric film boundary substantially parallel to a <110> direction. A {110} type sidewall facet can then be formed by epitaxially growing a semiconductor layer on the exposed portion of the substrate and the dielectric film.
    Type: Grant
    Filed: January 6, 2006
    Date of Patent: October 7, 2008
    Assignee: STC.UNM
    Inventors: Seung-Chang Lee, Steven R. J. Brueck
  • Patent number: 7348280
    Abstract: A method for fabricating and back-end-of-line (BEOL) metalization structures includes simultaneous high-k and low-k dielectric regions. An interconnect structure includes a first inter-level dielectric (ILD) layer and a second ILD layer with the first ILD layer underlying the second ILD layer. A plurality of columnar air gaps is formed in the first ILD. The columnar air gap structure is created using a two-phase photoresist material for providing different etching selectivity during subsequent processing.
    Type: Grant
    Filed: November 3, 2005
    Date of Patent: March 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Tonti, Chih-Chao Yang
  • Patent number: 7316784
    Abstract: A method of patterning a transparent conductive film adaptive for selectively etching a transparent conductive film without any mask processes, a thin film transistor for a display device using the same and a fabricating method thereof are disclosed. In the method of patterning the transparent conductive film, an inorganic material substrate is prepared. An organic material pattern is formed at a desired area of the inorganic material substrate. A thin film having a different crystallization rate depending upon said inorganic material and said organic material is formed. The thin film is selectively etched in accordance with said crystallization rate.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: January 8, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Byung Chul Ahn, Byoung Ho Lim, Byeong Dae Choi
  • Patent number: 7309633
    Abstract: First and second impurity doped regions are formed in a semiconductor substrate. A first gate electrode is formed on the first impurity doped region with a first gate insulation film interposed therebetween. A second gate electrode is formed on the second impurity doped region with a second gate insulation film interposed therebetween. A first sidewall insulation film is formed on either side of the first gate electrode. A second sidewall insulation film has a thickness different from that of the first sidewall insulation film and are formed on either side of the second gate electrode. A third sidewall insulation film is formed on the first sidewall insulation film on the side of the first gate electrode. A fourth sidewall insulation films have a thickness different from that of the third sidewall, insulation film and are formed on the second sidewall insulation film on the side of the second gate electrode.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: December 18, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hitoshi Tsuno