With Simultaneous Mechanical Treatment, E.g., Chemical-mechanical Polishing (epo) Patents (Class 257/E21.23)
  • Publication number: 20090068840
    Abstract: A polishing liquid is provided, which includes abrasive grains and a surfactant. The abrasive grains contain a first colloidal silica having an average primary particle diameter of 45-80 nm and a second colloidal silica having an average primary particle diameter of 10-25 nm. The weight w1 of the first colloidal silica and the weight w2 of the second colloidal silica satisfy the relationship represented by the following expression 1. 0.63?w1/(w1+w2)?0.
    Type: Application
    Filed: August 28, 2008
    Publication date: March 12, 2009
    Inventors: Gaku MINAMIHABA, Shunsuke Doi, Nobuyuki Kurashima, Yoshikuni Tateyama, Hiroyuki Yano
  • Publication number: 20090068839
    Abstract: A slurry, chemical mechanical polishing (CMP) method using the slurry, and method of forming metal wiring using the slurry. The slurry may include a polishing agent, an oxidant, and at least one defect inhibitor to protect the metal film. The CMP method and method of forming metal wiring may employ one or two slurries with at least one of the slurries including at least one defect inhibitor.
    Type: Application
    Filed: June 19, 2008
    Publication date: March 12, 2009
    Inventors: Sung-Jun Kim, Jeong-Heon Park, Chang-Ki Hong, Jae-Dong Lee
  • Publication number: 20090057661
    Abstract: A method and associated composition for chemical mechanical planarization of a chalcogenide-containing substrate (e.g., germanium/antimony/tellurium (GST)-containing substrate) are described. The composition and method afford low defect levels (e.g., scratches incurred during polishing) as well as low dishing and local erosion levels on the chalcogenide-containing substrate during CMP processing.
    Type: Application
    Filed: August 18, 2008
    Publication date: March 5, 2009
    Applicant: DUPONT AIR PRODUCTS NANOMATERIALS LLC
    Inventors: Junaid Ahmed Siddiqui, Saifi Usmani
  • Publication number: 20090061545
    Abstract: A silicon-on-insulator transfer wafer having a front surface with a circumferential lip around a circular recess is polished. In one version, the circular recess on the front surface of the wafer is masked by filling the recess with spin-on-glass. The front surface of the wafer is exposed to an etchant to preferentially etch away the circumferential lip, while the circular recess is masked by the spin-on-glass. The spin-on glass is removed, and the front surface of the transfer wafer is polished. Other methods of removing the circumferential lip include applying a higher pressure to the circumferential lip in a polishing process, and directing a pressurized fluid jet at the base of the circumferential lip.
    Type: Application
    Filed: July 22, 2008
    Publication date: March 5, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Raymond John Donohoe, Krishna Vepa, Paul V. Miller, Ronald Rayandayan, Hong Wang, Christophe Maleville
  • Patent number: 7498264
    Abstract: The present invention provides a method of fabricating a microelectronics device. In one aspect, the method comprises forming a spacer material 160 over gate electrodes 150 that are, in turn, located over a microelectronics substrate 110. The gate electrodes 150 have a doped region 170a located between them. A portion of the spacer material 160 is removed with a chemical/mechanical process using a slurry that is selective to a portion of the spacer material 160. The method further comprises etching a remaining portion of the spacer material 163, 165, 168 to form spacer sidewalls 163, 165, 168 on the gate electrodes 150. The etching exposes a surface of the gate electrodes 150 and leaves a portion of the spacer material 168 over the doped region 170a. Metal is then incorporated into the gate electrodes 150 to form silicided gate electrodes 150.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: March 3, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Freidoon Mehard, Shafoeng Yu, Joe G. Tran
  • Publication number: 20090047785
    Abstract: When the remaining slurry and polishing residue are removed by cleaning with a cleaning liquid (preferably a cleaning liquid containing a surfactant), organic matter in the cleaning liquid containing a surfactant seeps into the interlayer insulating film 3. Therefore, the substrate is subsequently washed with an organic solvent or a solution containing an organic solvent, thus washing away the organic matter that has seeped into the interlayer insulating film 3. Although the interlayer insulating film 3 is subjected to a hydrophobic treatment, since the solvent used is an organic solvent, this solvent is able to seep into the interlayer insulating film 3, dissolve the organic matter, and wash the organic matter away without being affected by this hydrophobic treatment. Afterward, the substrate 1 is dried, and the organic solvent or solution containing an organic solvent that is adhering to the surface is removed.
    Type: Application
    Filed: December 21, 2005
    Publication date: February 19, 2009
    Inventors: Syozo Takada, Hisanori Matsuo, Akira Ishikawa
  • Publication number: 20090042392
    Abstract: A polishing apparatus is configured to simultaneously polish both surfaces of a work and includes a sun gear provided around a rotational axis of one of a pair of polishing surfaces, a carrier having a hole configured to house the work, and including teeth so as to serve as a planetary gear which rotates and revolves around the sun gear, and a first dustproof mechanism that includes a first elastic member that contacts one surface of the carrier opposite to one of the polishing surfaces between the sun gear and the hole in the carrier.
    Type: Application
    Filed: May 29, 2008
    Publication date: February 12, 2009
    Applicant: Fujitsu Limited
    Inventors: Fumihiko Tokura, Mitsuo Takeuchi
  • Publication number: 20090042393
    Abstract: A production method of a semiconductor device including: producing a polishing composition containing zirconium oxide sol; and planarizing a substrate having an uneven surface with said polishing composition, wherein the polishing composition containing zirconium oxide is produced by the steps comprising: baking at a temperature ranging from 400 to 1000° C.
    Type: Application
    Filed: October 7, 2008
    Publication date: February 12, 2009
    Applicant: Nissan Chemical Industries, Ltd.
    Inventors: Noriyuki Takakuma, Isao Ota, Kenji Tanimoto
  • Publication number: 20090023290
    Abstract: A planarization method is provided. The method includes the steps of providing a substrate with a first region and a second region, and having a plurality of protrusions of different densities on a surface of said substrate; forming a first dielectric layer on the substrate to fill spaces between the plurality of protrusions; forming a second dielectric layer on the first dielectric layer, wherein the second dielectric layer is formed with a protruding tip having a height higher than heights of the protrusions; and partially removing said first dielectric layer and said second dielectric layer to planarize said first dielectric layer and said second dielectric layer and expose top surfaces of said protrusions.
    Type: Application
    Filed: February 13, 2008
    Publication date: January 22, 2009
    Inventors: Yuan Tsung Chang, Chih Neng Chang, Bang Tai Tang
  • Patent number: 7476602
    Abstract: A method of forming a semiconductor device including forming a low-k dielectric material over a substrate, depositing a liner on a portion of the low-k dielectric material, and exposing the liner to a plasma. The method also includes depositing a layer over the liner.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Kumar Ajmera, Patricia Beauregard Smith, Changming Jin
  • Publication number: 20090004864
    Abstract: The present invention relates to a Chemical Mechanical Polishing (CMP) method of a semiconductor device. According to the method, a metal layer is formed over a semiconductor substrate in which an edge region define. A passivation layer is formed on the metal layer. The passivation layer formed in the edge region is etched in order to expose the metal layer. The exposed metal layer is removed through etching. The metal layer is polished by performing a CMP process, thus forming a metal line.
    Type: Application
    Filed: December 27, 2007
    Publication date: January 1, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Tae Kyung Kim, Jik Ho Cho
  • Publication number: 20090004863
    Abstract: The present invention provides a polishing liquid for polishing a ruthenium-containing barrier layer, the polishing liquid being used in chemical mechanical polishing for a semi-conductor device having a ruthenium-containing barrier layer and conductive metal wiring lines on a surface thereof, the polishing liquid comprising an oxidizing agent; and a polishing particulate having hardness of 5 or higher on the Mohs scale and having a composition in which a main component is other than silicon dioxide (SiO2). The present invention also provides a polishing method for chemical mechanical polishing of a semi-conductor device, the method contacting the polishing liquid with the surface of a substrate to be polished, and polishing the surface to be polished such that contacting pressure from a polishing pad to the surface to be polished is from 0.69 kPa to 20.68 kPa.
    Type: Application
    Filed: June 25, 2008
    Publication date: January 1, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Tetsuya KAMIMURA
  • Publication number: 20080318426
    Abstract: A wafer recycling method comprises varying a temperature and pressure conditions to remove a first semiconductor layer deposited on a wafer, removing a remaining semiconductor layer on the wafer through a chemical or physical process, and washing the wafer.
    Type: Application
    Filed: June 23, 2008
    Publication date: December 25, 2008
    Inventors: Kyung Jun KIM, Hyo Kun Son
  • Patent number: 7468321
    Abstract: A new method is provided for the processing of metals, most notably copper, such that damage to exposed surfaces of these metals is prevented. During a step of semiconductor processing, which results in exposing a metal surface to a wet substance having a pH value, a voltage is applied to the metal that is exposed. The value of the applied voltage can, dependent on the value of the pH constant of the wet substance, be selected such that the exposed metal surface is protected against alkaline effects of the wet substance.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: December 23, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kai-Ming Ching, Chia-Fu Lin, Wen-Hsiang Tseng, Ta-Min Lin, Yen-Ming Chen, Hsin-Hui Lee, Chao-Yuan Su, Wen-Hsiang Tseng
  • Patent number: 7459720
    Abstract: The present invention provides a single crystal wafer, wherein the main surface has a plane or a plane equivalent to a plane tilting with respect to a [100] axis of single crystal by angles of ? (0°<?<90°) for the [011] direction, ? (0°<?<90°) for the [01-1] direction and ? (0°??<45°) for the [10-1] or [101] direction. Thus, a single crystal wafer that can sufficiently bear device production processes even with a small wafer thickness is provided and thereby loss of single crystal raw material is reduced. Further, by using such a wafer, MIS type semiconductor devices and solar cells are provided at a low cost.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: December 2, 2008
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Tadahiro Ohmi, Shigetoshi Sugawa, Tatsuo Ito, Koichi Kanaya
  • Publication number: 20080277767
    Abstract: A method of planarizing the surface of a semiconductor substrate to reduce the occurrence of a dishing phenomenon. A patterned etch stop layer defining a trench region is formed on a substrate. The substrate is etched to form a trench region, and a medium material layer and an oxide layer are subsequently formed on the substrate, filling the trench region. Chemical mechanical polishing (CMP) is performed on the oxide layer until the medium material layer is exposed. CMP is then performed until the patterned etch stop layer is exposed and a planarized oxide layer is formed. Because the medium material layer has a higher removal rate during CMP than the oxide layer, occurrences of the dishing phenomenon are reduced. A slurry including an anionic surfactant is used to increase the CMP removal ratio of the medium material layer to the oxide layer.
    Type: Application
    Filed: July 17, 2008
    Publication date: November 13, 2008
    Inventors: Jae-Dong Lee, Yong-Pil Han, Chang-Ki Hong
  • Publication number: 20080265375
    Abstract: Single-sided polishing of semiconductor wafers provided with a relaxed Si1-xGex layer involves polishing of a multiplicity of wafers in a plurality of polishing runs, a polishing run having at least one polishing step, at least one of the multiplicity of wafers obtained with a polished Si1-xGex layer at the end of each polishing run; moving the wafer during the polishing step over a rotating polishing plate provided with a polishing cloth while applying polishing pressure, and supplying polishing agent between the polishing cloth and the semiconductor wafer, the polishing agent containing an alkaline component and a component that dissolves germanium. Semiconductor wafer having a Si1-xGex layer substantially free of defects and haze is produced.
    Type: Application
    Filed: April 22, 2008
    Publication date: October 30, 2008
    Applicant: Siltronic AG
    Inventors: Georg Pietsch, Thomas Buschhardt, Juergen Schwandner
  • Publication number: 20080220610
    Abstract: The inventive method comprises chemically-mechanically polishing a substrate with a polishing composition comprising a liquid carrier and sol-gel colloidal silica abrasive particles.
    Type: Application
    Filed: June 29, 2006
    Publication date: September 11, 2008
    Applicant: Cabot Microelectronics Corporation
    Inventors: Benjamin Bayer, Zhan Chen, Jeffrey P. Chamberlain, Robert Vacassy
  • Publication number: 20080206955
    Abstract: A method of forming an isolation film in a semiconductor device is disclosed. The disclosed method includes performing a patterning process on a predetermined region of a semiconductor substrate in which a patterned pad film is formed, forming a trench defining an inactive region and an active region, forming a liner film on the entire surface including the trench, forming an insulating film for trench burial only within the trench, stripping the remaining liner film formed except for the inside of the trench and the patterned pad film formed below the liner film, forming a sacrificial film on the entire surface, and performing a polishing process on the entire surface in which the sacrificial film is formed until the semiconductor substrate of the active region is exposed, thereby forming the isolation film having no topology difference with the semiconductor substrate of the active region.
    Type: Application
    Filed: April 30, 2008
    Publication date: August 28, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Pil Geun Song, Young Jun Kim, Sang Wook Park
  • Patent number: 7416942
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes successively forming a first silicon film and a mask film above a semiconductor substrate through a gate insulating film, forming a plurality of trenches in the first silicon film and in the mask film to a depth to reach the semiconductor substrate, filling the plurality of trenches with the silicon oxide film, removing the mask film to expose the first silicon film existing between the silicon oxide films, selectively growing a second silicon film on the first silicon film, planarizing the second silicon film using an alkaline slurry exhibiting a pH of 13 or less and containing abrasive grains and a cationic surfactant, thereby obtaining a floating gate electrode film comprising the first and second silicon films, forming an interelectrode insulating film on the entire surface, and forming a control gate electrode film on the interelectrode insulating film.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: August 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Shinichi Hirasawa, Atsushi Shigeta, Kiyotaka Miyano, Takeshi Nishioka, Hiroyuki Yano
  • Publication number: 20080188077
    Abstract: A protective barrier layer, formed of a material such as titanium or titanium nitride for which removal by chemical mechanical polishing (CMP) is primarily mechanical rather than primarily chemical, formed on a conformal tungsten layer. During subsequent CMP to pattern the tungsten layer, upper topological regions of the protective barrier layer (such as those overlying interlevel dielectric regions) are removed first, exposing the tungsten under those regions to removal, while protective barrier layer regions over lower topological regions (such as openings within the interlevel dielectric) remain to prevent chemical attack of underlying tungsten. CMP patterned tungsten is thus substantially planar with the interlevel dielectric without dishing, even in large area tungsten structures such as MOS capacitor structures.
    Type: Application
    Filed: April 9, 2008
    Publication date: August 7, 2008
    Applicant: STMICROELECTRONICS, INC.
    Inventors: Charles R. Spinner, Rebecca A. Nickell, Todd H. Gandy
  • Publication number: 20080176400
    Abstract: Affords a III-V compound semiconductor substrate manufacturing method that enables enhancement of the substrate PL intensity. In such a III-V compound semiconductor substrate manufacturing method, first, the surface 3a of a wafer 3 is polished (polishing step). Second, the surface 3a of the wafer 3 is cleaned (first cleaning step S7). Next, the surface 3a of the wafer 3 is subjected to first dry-etching, employing a halogen-containing gas, while first bias voltage is applied to a chuck 24 for carrying the wafer 3. Subsequently, the surface 3a of the wafer 3 is subjected to second dry-etching, employing the halogen-containing gas (second dry-etching step S11), while second bias power lower than the first bias power is applied to the chuck 24.
    Type: Application
    Filed: January 23, 2008
    Publication date: July 24, 2008
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Akihiro Hachigo, Naoki Matsumoto, Takayuki Nishiura
  • Patent number: 7396749
    Abstract: The invention relates to a method for contacting parts of a component integrated into a semiconductor substrate (1). According to the inventive method, a first contact hole is produced in an insulating layer (2), said contact hole being then filled with contact material (16) and connected to a line. The aim of the invention is to minimise the processes required for contacting parts of a component integrated into a semiconductor substrate. To this end, the hard mask (3) used to produce the contact hole is also used to structure the line.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: July 8, 2008
    Assignee: Infineon Technologies AG
    Inventors: Ludwig Dittmar, Wolfgang Gustin, Maik Stegemann
  • Publication number: 20080160647
    Abstract: A method for reducing wafer backside large particle contamination, comprising: performing front end of line processing of a memory device, depositing a thick oxide on the wafer backside so that at least pre-selected oxide thickness remains after back end of line processing is complete and performing the back end of line processing of the memory device.
    Type: Application
    Filed: February 22, 2007
    Publication date: July 3, 2008
    Inventor: Nhan Hanh Anderson
  • Publication number: 20080160750
    Abstract: A post-CMP cleaning process of a copper layer is to be performed as follows. An alkaline aqueous solution, a polycarboxylic acid, BTA, and an alkaline aqueous solution are sequentially brought into contact with a primary surface of a silicon substrate over which the copper layer is provided.
    Type: Application
    Filed: December 10, 2007
    Publication date: July 3, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Toshiyuki TAKEWAKI, Manabu Iguchi, Daisuke Oshida, Hironori Toyoshima, Masayuki Hiroi, Takuji Onuma, Hiroaki Nanba, Ichiro Honma, Mieko Hasegawa, Yasuaki Tsuchiya, Toshiji Taiji, Takahara Kunugi
  • Patent number: 7393789
    Abstract: Various pattern transfer and etching steps can be used to create features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed, pitch-reduced patterns of crossing elongate features that can be consolidated into a single layer. Planarizing techniques using a filler layer and a protective layer are disclosed. Portions of an integrated circuit having different heights can be etched to a common plane.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: July 1, 2008
    Assignee: MICRON Technology, Inc.
    Inventors: Mirzafer Abatchev, David Wells, Baosuo Zhou, Krupakar M. Subramanian
  • Publication number: 20080138990
    Abstract: The present invention provide a chemical-mechanical polishing composition for inhibiting dishing and erosion as well as rapidly polishing an insulating film and barrier film at the same time while maintaining the flatness of the substrate surface polished. The present chemical-mechanical polishing composition comprises methanesulfonic acid, an alkali metal ion, an oxidizing agent, a silica abrasive and water, and has a pH of 8 to 12.
    Type: Application
    Filed: December 22, 2005
    Publication date: June 12, 2008
    Applicant: SHOWA DENKO K.K
    Inventors: Ayako Nishioka, Yuji Itoh, Yoshitomo Shimazu
  • Publication number: 20080124929
    Abstract: There is provided a layer transferred wafer subjected to a process for regenerating to be reused many times for an SOI layer wafer which is used to manufacture an SOI wafer with an excellent process yield in which oxygen precipitate nuclei or oxygen precipitates are eliminated and generation of HF defects are inhibited by performing the process for regenerating the layer transferred wafer generated as a by-product by an ion implantation separation method. The process for regenerating a layer transferred wafer in which the layer transferred wafer 11b obtained as a by-product in manufacturing a bonded SOI wafer 10 by an ion implantation separation method so as to be reused for an SOI layer wafer 11 of the bonded SOI wafer 10, comprises: rapidly heating the layer transferred wafer 11b in an oxidizing atmosphere, then holding it for a fixed time and subsequently rapidly cooling it; and mirror-polishing a surface of the layer transferred wafer 11b.
    Type: Application
    Filed: November 28, 2006
    Publication date: May 29, 2008
    Inventors: Hidehiko Okuda, Akihiko Endo, Tatsumi Kusaba
  • Patent number: 7361602
    Abstract: A method of forming a polished semiconductor structure comprises polishing a surface of a semiconductor structure by chemical mechanical polishing. Pressure applied to the surface is reduced during the polishing, or a rotation rate of a polishing surface relative to the surface is reduced during the polishing.
    Type: Grant
    Filed: October 18, 2005
    Date of Patent: April 22, 2008
    Assignee: Cypress Semiconductor Corporation
    Inventor: Andrey Zagrebelny
  • Patent number: 7358181
    Abstract: A method for structuring a laterally extending first layer in a semiconductor device with the aid of a reactive second layer, which together with the first layer to be structured forms first reaction products, which products are removed by material removal that acts selectively on the first reaction products, whereby the structuring takes place in a vertical direction.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: April 15, 2008
    Assignee: Atmel Germany GmbH
    Inventor: Christoph Bromberger
  • Patent number: 7338882
    Abstract: A method of fabricating a nano silicon on insulator (SOI) wafer having an excellent thickness evenness without performing a chemical mechanical polishing (CMP) and a wafer fabricated by the same are provided. The provided method includes preparing a bond wafer and a base wafer, and forming a dielectric on at least on surface of the bond wafer. Thereafter, an impurity ion implantation unit is formed by implanting impurity ions into the bond wafer to a predetermined depth from the surface of the bond wafer at a low voltage. The dielectric of the bond wafer and the base wafer contact each other in order to be bonded. Next, a thermal process of low temperature is performed to cleave the impurity ion implantation unit of the bond wafer. In addition, the cleaved surface of the bond wafer bonded to the base wafer is etched to form a nano scale device region. Here, the cleaved surface may be etched by performing a hydrogen surface process and a wet etching.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: March 4, 2008
    Assignees: Siltron Inc.
    Inventors: Jea-Gun Park, Gon-Sub Lee, Sang-Hee Lee
  • Patent number: 7329606
    Abstract: A semiconductor device having small electrical contacts to impurity doped regions and a method for fabrication of such a device are provided. In accordance with one embodiment of the invention the semiconductor device comprises a semiconductor substrate having a doped region formed therein. The doped region has a nucleating layer comprising nickel on its surface, and a nanowire structure comprising silicon and carbon electrically contacts the nucleating layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: February 12, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Frank Wirbeleit
  • Publication number: 20070281403
    Abstract: A method of enhancing gate lithography performance by polysilicon chemical-mechanical polishing includes depositing a gate polysilicon layer on a semiconductor substrate which has a field oxide isolation structure, and then performing a polysilicon chemical-mechanical polishing after a gate polysilicon layer is deposited in order to smooth the uneven polysilicon surface resulting from the field oxide isolation structure so as to lessen the next lithography process fault because of the non-flatness.
    Type: Application
    Filed: June 1, 2006
    Publication date: December 6, 2007
    Inventors: Mon-Chin Tsai, Been-Jon Woo
  • Patent number: 7294569
    Abstract: A polishing-rate distribution of a target film is compared with a desired post-polishing film-thickness distribution of the target film, thereby obtaining a pre-polishing film-thickness distribution of the target film by a reverse calculation, so that film growing conditions can be controlled in advance so as to allow the target film to have, after polishing, a film-thickness distribution that is the same as the desired film-thickness distribution. Therefore, even if there is a possibility that variation in the step height of the wafer surface might be produced by polishing, the finally obtained target film's film-thickness distribution can be the desired film-thickness distribution. Accordingly, semiconductors in which device-to-device variation in characteristic is reduced can be provided.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: November 13, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hiroyuki Kamada
  • Patent number: 7276743
    Abstract: A retaining ring for use with electrochemical mechanical processing is described. The retaining ring has a generally annular body formed with a conductive portion and a non-conductive portion. The non-conductive portion contacts the substrate during polishing. The conductive portion is electrically biased during polishing to reduce the edge effect that tends to occur with conventional electrochemical mechanical processing systems.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: October 2, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Antoine P. Manens, Suresh Shrauti, Alain Duboust, Yan Wang, Liang-Yuh Chen
  • Patent number: 7247558
    Abstract: The invention provides a process for forming a planar copper structure on a wafer surface in a first module and a second module of a system. During the process, a copper layer is formed on the wafer surface by utilizing an electrochemical deposition process in the first module. After the deposition, the wafer is moved to the second module of the system and an electrochemical mechanical polishing process is applied to planarize the copper layer to a predetermined thickness. The first and second modules can be positioned in a cluster tool. The wafer is subsequently processed by selective copper CMP and selective barrier layer CMP, which are conducted in another cluster tool.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: July 24, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Bulent M Basol, Homayoun Talieh
  • Patent number: 7241692
    Abstract: A method for chemical mechanical polishing of mirror structures. The method includes providing a semiconductor substrate, e.g., silicon wafer. The method includes forming a first dielectric layer overlying the semiconductor substrate and forming an aluminum layer overlying the first dielectric layer, the aluminum layer having an upper surface with a predetermined roughness of greater than 20 Angstroms RMS. The method also includes processing regions overlying the upper surface of the aluminum layer using a touch polishing process to reduce a surface roughness of the upper surface of aluminum layer to less than 5 Angstroms to form a mirror surface on the aluminum layer. Preferably, a protective layer is formed overlying the mirror surface on the aluminum layer.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: July 10, 2007
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chris C. Yu, Chun Xiao Yang
  • Patent number: 7229927
    Abstract: The invention utilizes colloidal silica soot (62) in a semiconductor process for chemical-mechanical planarizing a semiconductor integrated circuit workpiece (24) with a slurry (60). The particulate abrasive agent colloidal solid sphere fused silica soot (62) provides a beneficial CMP slurry/process for semiconductor device manufacturing compared to standard semiconductor CMP slurries with conventional colloidal sol-gel or fumed silica.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: June 12, 2007
    Assignee: Corning Incorporated
    Inventors: Charles M. Darcangelo, Robert Sabia, Robert D. Sell, Harrie J. Stevens, Ljerka Ukrainczyk
  • Patent number: 7208388
    Abstract: A method of making integrated circuit thin film resistor includes forming a first dielectric layer (18B) over a substrate and providing a structure to reduce variation of head resistivity thereof by forming a dummy fill layer (9A) on the first dielectric layer, and forming a second dielectric layer (18D) over the first dummy fill layer. A thin film resistor (2) is formed on the second dielectric layer (18D). A first inter-level dielectric layer (21A) is formed on the thin film resistor and the second dielectric layer. A first metal layer (22A) is formed on the first inter-level dielectric layer and electrically contacts a portion of the thin film resistor. Preferably, the first dummy fill layer is formed as a repetitive pattern of sections such that the repetitive pattern is symmetrically aligned with respect to multiple edges of the thin-film resistor (2).
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: April 24, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Eric W. Beach, Philipp Steinmann
  • Patent number: 7199056
    Abstract: Methods and compositions are provided for planarizing substrate surfaces with low dishing. Aspects of the invention provide methods of using compositions comprising an abrasive selected from the group consisting of alumina and ceria and a surfactant for chemical mechanical planarization of substrates to remove polysilicon.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: April 3, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Sen-Hou Ko, Kevin H. Song
  • Patent number: 7196012
    Abstract: A method and system for improving planarization and uniformity of dielectric layers for providing improved optical efficiency in CCD and CMOS image sensor devices. In various embodiments, a dielectric planarization method for achieving better optical efficiency includes first depositing a first dielectric having an optically transparent property on and around a metal pattern. Optical sensors are formed in or on the substrate in areas between metal features. The metal pattern protects a sensor situated therebetween and thereunder from electromagnetic radiation. After the first dielectric layer is polished using CMP, a slanted or inclined surface is produced but this non-uniformity is eliminated using further planarization processes that produce a uniform total dielectric thickness for the proper functioning of the sensor.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yeou-Lang Hsieh, Chin-Min Lin, Jiann-Jong Wang
  • Patent number: 7192869
    Abstract: Methods for planarizing a metal layer in a semiconductor device are disclosed. An illustrated example method comprises dividing a metal layer into a first section and a second section. A polishing removal rate associated with the first section is greater than a polishing removal rate associated with the second section. The method also includes forming an oxide layer on the first section of the metal layer; and planarizing the oxide layer and the metal layer using a chemical mechanical polishing process.
    Type: Grant
    Filed: September 7, 2004
    Date of Patent: March 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joo-Hyun Lee
  • Patent number: 7183214
    Abstract: In one embodiment, a semiconductor substrate is placed into a process chamber. A gas mixture including a silicon-containing gas, a fluorine-containing gas, an inert gas, and an oxygen gas is introduced into the chamber at a pressure range of from about 30 mTorr to about 90 mTorr. During this time, deposition and etching processes are concurrently performed using a plasma to form a high-density plasma (HDP) insulating layer on the semiconductor substrate. A ratio of deposition to etching is from about 3:1 to about 10:1. A ratio of a flow rate of the fluorine-containing gas to a flow rate of the silicon-containing gas is less than about 0.9.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Lgd.
    Inventors: Jeong-Hoon Nam, Jin-Ho Jeon
  • Patent number: 7183212
    Abstract: Described is a polishing technique adapted for multilevel metallization of an electronic circuit device, which comprises polishing a metal film with a polishing liquid containing an oxidizing substance, a phosphoric acid and a protection-layer forming agent. The present invention makes it possible to polishing a metal film at a high removal rate while suppressing occurrence of scratches, delamination, dishing or erosion.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: February 27, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Seiichi Kondo, Masaaki Fujimori, Noriyuki Sakuma, Yoshio Homma
  • Patent number: 7179753
    Abstract: In a process for planarization of semiconductor substrates in which a layer which has been applied to a semiconductor substrate which has a trench and/or contact holes is removed such that the layer remains solely in the area of the trenches or contact holes, instead of as in the prior art the etching medium being applied in drops, the etching medium is applied in a continuous flow with a flow rate of at least 0.4 l/min so that the etching medium covers the entire surface of the semiconductor substrate to be planarized. This technique yields a differentiated etching rate, the etching speed in the area of the fields between the trenches or contact holes being greater than in the area of the trenches themselves, so that as a result the coating applied to the semiconductor substrate is etched away more quickly than in the area of the trenches and finally material remains only in the area of the trenches or contact holes.
    Type: Grant
    Filed: May 14, 2002
    Date of Patent: February 20, 2007
    Assignee: Sez AG
    Inventors: Hans-Jurgen Kruwinus, Reinhard Sellmer
  • Patent number: 7081380
    Abstract: A method of forming a conductive pattern of a semiconductor device includes forming a conductive layer is on a substrate, forming a polishing protection layer on the substrate including over the conductive layer, and forming a step compensation layer on the polishing protection layer to reduce the step presented by the layer that is the polishing protection layer. The conductive layer is the exposed by removing select portions of the step compensation layer and the polishing protection layer. The conductive pattern is ultimately formed on the substrate by etching the exposed conductive layer. By planarization the intermediate structure several times once the step compensation layer is formed, a highly uniform conductive layer is sure to be formed.
    Type: Grant
    Filed: February 23, 2004
    Date of Patent: July 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Don-Woo Lee, Chul-Soon Kwon, Chang-Yup Lee
  • Patent number: 7005382
    Abstract: Provided are an aqueous dispersion for chemical mechanical polishing, which planarizes a surface to be polished and has high shelf stability, a chemical mechanical polishing process excellent in selectivity when surfaces of different materials are polished, and a production process of a semiconductor device. A first aqueous dispersion contains a water-soluble quaternary ammonium salt, an inorganic acid salt, abrasive grains and an aqueous medium. A second aqueous dispersion contains at least a water-soluble quaternary ammonium salt, another basic organic compound other than the water-soluble quaternary ammonium salt, an inorganic acid salt, a water-soluble polymer, abrasive grains and an aqueous medium.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 28, 2006
    Assignees: JSR Corporation, Kabushiki Kaisha Toshiba
    Inventors: Kazuo Nishimoto, Tatsuaki Sakano, Akihiro Takemura, Masayuki Hattori, Nobuo Kawahashi, Naoto Miyashita, Atsushi Shigeta, Yoshitaka Matsui, Kazuhiko Ida
  • Patent number: 6889177
    Abstract: A pseudo-physical model simulates the erosion of large area three-dimensional patterns on workpieces during a chemical mechanical polishing process. The model is based on determining the vertical location of individual nodes on the polishing pad stack and corresponding individual nodes on the workpiece. Contact forces between the pad and the workpiece are determined by the deflection of the pad stack which is transformed into a contact force by modeling the polishing stack as abstract mathematical springs.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: May 3, 2005
    Assignee: Southwest Research Institute
    Inventor: Scott R. Runnels