With Simultaneous Mechanical Treatment, E.g., Chemical-mechanical Polishing (epo) Patents (Class 257/E21.23)
  • Publication number: 20090258493
    Abstract: A substance to be polished made of a silicon oxide film formed on a semiconductor substrate is chemically and mechanically polished and planarized by bringing the substance to be polished into contact with a polishing pad having a modulus of elasticity within a range of 400 to 600 megapascals and by relatively sliding the substance to be polished and the polishing pad, in a condition that a polishing pressure is within a range of 50 to 200 hectopascals and that a rotation number of the polishing pad is within a range of 10 to 80 rpm, and in a state that a polishing slurry containing cerium oxide particles and an anionic surfactant is supplied to the polishing pad.
    Type: Application
    Filed: March 13, 2009
    Publication date: October 15, 2009
    Inventors: Yukiteru MATSUI, Hajime EDA, Takatoshi ONO, Satoko Seta, Yoshikuni TATEYAMA
  • Patent number: 7601642
    Abstract: The inventive method for processing a silicon wafer is a method comprising step 11 in which a single crystal ingot is sliced into thin disc-like wafers; step 13 in which the surface of each wafer is lapped to be planar; step 14 in which the wafer is subjected to alkaline cleaning to be removed of contaminants resulting from preceding machining; and step 16 in which the wafer is alternately transferred between two groups of etching tanks one of which contain acidic etching solutions and the other alkaline etching solutions, wherein an additional step 12 is introduced between step 11 and step 13 in which a wafer is immersed in an acidic solution containing hydrofluoric acid (HF) and nitric acid (HNO3) at a volume ratio of ? to ½ (HF/HNO3) so that degraded superficial layers occurring on the front and rear surfaces of the wafer as a result of machining can be removed and the edge surface of the wafer can be beveled.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: October 13, 2009
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Kazushige Takaishi
  • Patent number: 7601640
    Abstract: A post-CMP cleaning process of a copper layer is to be performed as follows. An alkaline aqueous solution, a polycarboxylic acid, BTA, and an alkaline aqueous solution are sequentially brought into contact with a primary surface of a silicon substrate over which the copper layer is provided.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: October 13, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Toshiyuki Takewaki, Manabu Iguchi, Daisuke Oshida, Hironori Toyoshima, Masayuki Hiroi, Takuji Onuma, Hiroaki Nanba, Ichiro Honma, Mieko Hasegawa, Yasuaki Tsuchiya, Toshiji Taiji, Takaharu Kunugi
  • Publication number: 20090250790
    Abstract: Nitride semiconductor wafers which are produced by epitaxially grown nitride films on a foreign undersubstrate in vapor phase have strong inner stress due to misfit between the nitride and the undersubstrate material. A GaN wafer which has made by piling GaN films upon a GaAs undersubstrate in vapor phase and eliminating the GaAs undersubstrate bends upward due to the inner stress owing to the misfit of lattice constants between GaN and GaAs. Ordinary one-surface polishing having the steps of gluing a wafer with a surface on a flat disc, bringing another surface in contact with a lower turntable, pressing the disc, rotating the disc, revolving the turntable and whetting the lower surface, cannot remedy the inherent distortion. The Distortion worsens morphology of epitaxial wafers, lowers yield of via-mask exposure and invites cracks on surfaces. Nitride crystals are rigid but fragile. Chemical/mechanical polishing has been requested in vain.
    Type: Application
    Filed: February 27, 2009
    Publication date: October 8, 2009
    Applicants: SUMITOMO ELECTRIC INDUSTRIES, LTD., SONY CORPORATION
    Inventors: Masahiro NAKAYAMA, Naoki Matsumoto, Koshi Tamamura, Masao Ikeda
  • Patent number: 7597729
    Abstract: A polishing composition contains an abrasive such as colloidal silica, at least one kind of compound selected from imidazole and an imidazole derivative, and water. The polishing composition preferably further contains an alkali compound, a water-soluble polymer, or a chelating agent. The polishing composition is suitable for use in polishing an edge of an object such as a semiconductor substrate.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: October 6, 2009
    Assignee: Fujimi Incorporated
    Inventor: Shinichiro Takami
  • Publication number: 20090246956
    Abstract: The invention provides a metal polishing composition that is used in chemical mechanical polishing in production of a semiconductor device, and includes an oxidizing agent, an abrasive grain, and at least one compound selected from compounds represented by the following formula (I) and the following formula (II). The invention also provides a chemical mechanical polishing method that uses the metal polishing composition. In formula (I), R1 represents a hydrogen atom or an alkyl group, and Ph represents a phenyl ring. In formula (II), R2 represents a hydrogen atom or an alkyl group, and Ph represents a phenyl ring.
    Type: Application
    Filed: March 16, 2009
    Publication date: October 1, 2009
    Applicant: FUJIFILM CORPORATION
    Inventors: Sumi TAKAMIYA, Tadashi INABA, Atsushi MIZUTANI, Tomoo KATO, Toshiyuki SAIE
  • Publication number: 20090246957
    Abstract: A polishing liquid is provided with which a polishing rate relative to a conductive metal wiring typically represented by a copper wiring on a substrate having a barrier layer containing manganese and/or a manganese alloy and an insulating layer on the surface (particularly, copper oxide formed at the boundary) is decreased and with which less step height between the conductive metal wiring and the insulating layer is formed, and a polishing method using the polishing liquid is also provided. The polishing liquid includes: colloidal silica particles exhibiting a positive ? potential at the surface thereof, a corrosion inhibiting agent; and an oxidizing agent, in which the polishing liquid is used in a chemical mechanical polishing process for a semiconductor device having, on a surface thereof, a barrier layer containing manganese and/or a manganese alloy, a conductive metal wiring, and an insulating layer.
    Type: Application
    Filed: March 18, 2009
    Publication date: October 1, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Tetsuya KAMIMURA
  • Publication number: 20090239379
    Abstract: A method of removing a material from a surface includes providing a substrate comprising a material having a surface, contacting the surface with a polishing medium, applying a voltage to the substrate to remove material from the surface, and changing the voltage during the removing material from the surface. An electrochemical mechanical polishing method includes providing a substrate having a surface, applying a platen to the surface, applying a first voltage to the substrate, rotating the platen and surface relative to each other at a first rotational speed, increasing to a second voltage, and decreasing to a second rotational speed.
    Type: Application
    Filed: March 24, 2008
    Publication date: September 24, 2009
    Inventors: Wayne Huang, Whonchee Lee
  • Publication number: 20090239380
    Abstract: A liquid for polishing a metal is provided that is used for chemically and mechanically polishing a conductor film including copper or a copper alloy in production of a semiconductor device, and a polishing method using the metal-polishing liquid is also provided. The liquid includes: (a) colloidal silica particles having an average primary particle size of from 10 nm to 25 nm and an average secondary particle size of from 50 nm to 70 nm; (b) a metal anticorrosive agent; (c) at least one compound selected from the group consisting of a surfactant and a water-soluble polymer compound; (d) an oxidizing agent; and (e) an organic acid.
    Type: Application
    Filed: March 9, 2009
    Publication date: September 24, 2009
    Applicant: FUJIFILM CORPORATION
    Inventors: Takamitsu TOMIGA, Tomoo KATO, Tadashi INABA, Masaru YOSHIKAWA
  • Publication number: 20090239373
    Abstract: A chemical mechanical polishing method comprises polishing an organic film using a slurry including polymer particles having a surface functional group and a water-soluble polymer.
    Type: Application
    Filed: June 3, 2009
    Publication date: September 24, 2009
    Applicants: JSR CORPORATION, KABUSHIKI KAISHA TOSHIBA
    Inventors: Hirotaka Shida, Yukiteru Matsui, Atsushi Shigeta, Shinichi Hirasawa, Hirokazu Kato, Masako Kinoshita, Takeshi Nishioka, Hiroyuki Yano
  • Publication number: 20090233444
    Abstract: A polishing process in a semiconductor device fabrication process employs a polishing composition in which a gaseous phase is created within the polishing composition. During a polishing process, the gaseous phase dynamically responds to changes in the surface profile of the material undergoing removal by chemical and abrasive action during polishing. The inert gas bubble density dynamically increases in proximity to surface region of the substrate being polished that are prone to dishing and erosion. The increased inert gas bubble density operates to reduce the polish removal rate relative to other regions of the substrate. The dynamic action of the gaseous phase within the polishing composition functions to selectively reduce the localized polish removal rate such that a uniformly smooth and flat polished surface is obtained that is independent of the influence of pattern density during the polishing process.
    Type: Application
    Filed: March 11, 2008
    Publication date: September 17, 2009
    Inventors: Feng Zhao, Wu Ping Liu, John Sudijono, Laertis Economikos, Lawrence A. Clevenger
  • Publication number: 20090231477
    Abstract: A solid-state image pickup apparatus includes: a first major surface on which a light receiving portion is formed; a second major surface opposed to the first major surface, and a hermetic seal portion formed on the first major surface, for sealing the light receiving portion, the hermetic seal portion being formed by a flat plate portion made of a transparent inorganic insulating member and a frame portion made of an inorganic insulating film.
    Type: Application
    Filed: March 11, 2009
    Publication date: September 17, 2009
    Applicant: OLYMPUS CORPORATION
    Inventors: Takatoshi Igarashi, Kazuya Matsumoto
  • Publication number: 20090224370
    Abstract: The present invention relates to a composite material having non-planar geometries and edge-shaving surfaces comprising a CVD diamond coating applied to a composite substrate made from a ceramic material and a preferably unreacted carbide-forming material of various configurations and for a variety of applications.
    Type: Application
    Filed: March 6, 2009
    Publication date: September 10, 2009
    Inventor: David E. Slutz
  • Publication number: 20090218560
    Abstract: New temporary bonding methods and articles formed from those methods are provided. The methods comprise bonding a device wafer to a carrier wafer or substrate only at their outer perimeters in order to assist in protecting the device wafer and its device sites during subsequent processing and handling. The edge bonds formed by this method are chemically and thermally resistant, but can also be softened, dissolved, or mechanically disrupted to allow the wafers to be easily separated with very low forces and at or near room temperature at the appropriate stage in the fabrication process.
    Type: Application
    Filed: January 23, 2009
    Publication date: September 3, 2009
    Applicant: Brewer Science Inc.
    Inventors: Tony D. Flaim, Jeremy McCutcheon
  • Publication number: 20090221145
    Abstract: A metal polishing slurry which is capable of simultaneously realizing a high polishing speed and reduced dishing in the polishing of a subject to be polished is provided.
    Type: Application
    Filed: February 27, 2009
    Publication date: September 3, 2009
    Applicant: FUJIFILM Corporation
    Inventors: Hiroshi INADA, Masaru YOSHIKAWA, Tadashi INABA
  • Publication number: 20090215267
    Abstract: A method of manufacturing a semiconductor device includes: polishing a semiconductor substrate to expose a polysilicon film on the semiconductor substrate using a chemical mechanical polishing method; cleaning the semiconductor substrate using a first acid cleaning solution; cleaning the semiconductor substrate with an ultrasonic wave using a second cleaning solution after cleaning the semiconductor substrate with said first acid cleaning solution; and cleaning the semiconductor substrate using a third cleaning solution, which is alkaline, after cleaning the semiconductor substrate with an ultrasonic wave.
    Type: Application
    Filed: February 25, 2009
    Publication date: August 27, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Seiichi SHIBATA, Naoki IDANI, Takashi WATANABE
  • Publication number: 20090215270
    Abstract: A polishing liquid is provided which has good storage stability and is capable of inhibiting generation of scratching caused by aggregation of solid abrasive grains or the like during use. A polishing method using the polishing liquid is also provided. The polishing liquid includes: (a) an aqueous solution A including colloidal silica particles in an amount of from 5 mass % to 40 mass % with respect to the total mass of the aqueous solution A, and having a pH of from 1 to 7; and (b) an aqueous solution B including a quaternary ammonium cation, wherein the aqueous solution A and the aqueous solution B are separately prepared and mixed to provide the polishing liquid immediately before used in polishing.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 27, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Toshiyuki Saie
  • Publication number: 20090215271
    Abstract: The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, an inorganic halide salt, and an aqueous carrier. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide and polysilicon.
    Type: Application
    Filed: April 2, 2009
    Publication date: August 27, 2009
    Inventors: Jeffrey M. Dysard, Timothy P. Johns
  • Publication number: 20090215269
    Abstract: Chemical mechanical polishing (CMP) compositions and single CMP platen process for the removal of copper and barrier layer material from a microelectronic device substrate having same thereon. The process includes the in situ transformation of a Step I slurry formulation, which is used to selectively remove and planarize copper, into a Step II slurry formulation, which is used to selectively remove barrier layer material, on a single CMP platen pad.
    Type: Application
    Filed: June 6, 2006
    Publication date: August 27, 2009
    Applicant: Advanced Technology Materials Inc.
    Inventors: Karl E. Boggs, Michael S. Darsillo, Peter Wrschka, James Welch, Jeffrey Giles, Michele Stawasz
  • Publication number: 20090215268
    Abstract: A polishing mixture and related method of polishing a material wafer surface, such as silicon carbide, are disclosed. The polishing mixture comprises; an abrasive and an oxidizer mixed in an acidic solution. Alumina may be used as the abrasive and the polishing mixture may have a pH less than or equal to seven (7).
    Type: Application
    Filed: September 18, 2007
    Publication date: August 27, 2009
    Inventors: William J. Everson, David Snyder, Richard Gamble, Volker D. Heydemann
  • Publication number: 20090209104
    Abstract: Disclosed is a polishing slurry for CMP which makes it possible to polish a barrier layer, a wiring metal layer and an interlayer dielectric continuously, and restrain a phenomenon that the interlayer dielectric in a region near the wiring metal layer is excessively shaven off so that a depression is generated. A polishing slurry, for CMP, containing abrasive particles, an acid, a tolyltriazole compound represented by the following general formula (I), and water: wherein R1s each independently represent an alkylene group having 1 to 4 carbon atoms, and R2 represents an alkylene group having 1 to 4 carbon atoms.
    Type: Application
    Filed: July 4, 2007
    Publication date: August 20, 2009
    Inventor: Tadahiro Kimura
  • Publication number: 20090209103
    Abstract: A new barrier slurry composition enables metal and barrier layer material (as well as cap layer material, if necessary) to be removed at a practical rate whilst eliminating, or significantly reducing, the removal of underlying low-k or ultra-low-k dielectric material. The barrier slurry composition comprises: water, an oxidizing agent such as hydrogen peroxide, an abrasive such as colloidal silica abrasive, a complexing agent such as citrate, and may comprise a corrosion inhibitor such as benzotriazole. The preferential removal of cap layer material relative to underlying ULK dielectric material can be enhanced by including in the barrier slurry composition a first additive, such as sodium bis(2-ethylhexyl) sulfosuccinate. The removal rate of the barrier layer material can be tuned by including in the barrier slurry composition a second additive, such as ammonium nitrate.
    Type: Application
    Filed: January 2, 2007
    Publication date: August 20, 2009
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventor: Philippe Monnoyer
  • Publication number: 20090206450
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (11) and a semiconductor body (2) which is provided with at least one semiconductor element and the surface of which is provided with an aluminum layer (3) that is patterned by means of a chemical-mechanical polishing process, the side of the device (10) covered with the aluminum layer (3) being pressed against a polishing pad (5), the device (10) and the pad (5) being moved with respect to each other, a slurry (6) containing an abrasive and having a pH level lower than about 12 being applied between the device (10) and the pad (5), and the polishing process being continued till a sufficient amount of the aluminum layer (3) has been removed. According to the invention, the slurry (6) between the device (10) and the pad (5) is provided with a pH level lower than 5 and the pH level is created using merely an acid the aluminum salt of which dissolves well in the slurry (6).
    Type: Application
    Filed: April 24, 2007
    Publication date: August 20, 2009
    Applicant: NXP B.V.
    Inventor: Srdjan Kordic
  • Publication number: 20090203215
    Abstract: A metal polishing slurry which is capable of simultaneously realizing a high polishing speed and reduced dishing in the polishing of a subject to be polished is provided. The metal polishing slurry includes a compound represented by the following general formula (1): wherein X represents a heterocyclic group containing at least one nitrogen atom, Y represents hydrogen atom, an aliphatic hydrocarbon group, an aryl group, or a —C(?O)Z? wherein Z? is as defined for Z, and Z represents hydrogen atom, an optionally substituted aliphatic hydrocarbon group, an optionally substituted aryl group, an optionally substituted heterocyclic group, —NZ1Z2, or —OZ3 wherein Z1, Z2, and Z3 independently represent hydrogen atom, an optionally substituted aliphatic hydrocarbon group, an optionally substituted aryl group, or an optionally substituted heterocyclic group, with the proviso that Y and Z may together form a ring; an oxidizing agent; and an organic acid.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 13, 2009
    Applicant: FUJIFILM Corporation
    Inventors: Masaru YOSHIKAWA, Tadashi INABA, Hiroshi INADA, Takamitsu TOMIGA
  • Publication number: 20090203214
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (11) and a semiconductor body (1), whereby in the semiconductor body (1) a semiconductor element is formed by means of a mesa-shaped protrusion of the semiconductor body (1), which is formed on the surface of the semiconductor device (10) as a nano wire (2), whereupon a layer (3) of a material is deposited over the semiconductor body (1) and the resulting structure is subsequently planarized in a chemical-mechanical polishing process such that an upper side of the nano wire (3) becomes exposed.
    Type: Application
    Filed: June 6, 2007
    Publication date: August 13, 2009
    Applicant: NXP B.V.
    Inventors: Godefridus Adrianus Maria Hurkx, Johannes Josephus Theodorus Marinus Donkers
  • Publication number: 20090197412
    Abstract: To provide a polishing slurry composition which effectively reduces the occurrence of scratches, and a method of polishing which reduces the occurrence of scratches while realizing an economical polishing step. The aforementioned object is attained by using a polishing slurry composition for polishing a semiconductor substrate containing a metal oxide particle, at least one water-soluble organic polymer and water, said slurry composition characterized in that, when a test substrate having a metal film, a shallow trench isolation film or dielectric film is polished by varying a rate of a polishing pad equipped in a polishing apparatus under a constant polishing pressure to achieve a maximum polishing rate.
    Type: Application
    Filed: November 13, 2003
    Publication date: August 6, 2009
    Inventors: Haruki Nojo, Akitoshi Yoshida, Hirofumi Kashihara, Pascal Berar
  • Publication number: 20090197414
    Abstract: The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains a nitrogen-containing nonionic surfactant and abrasive grains and has a pH of 9 to 12. The content of the nitrogen-containing nonionic surfactant in the polishing composition is preferably 20 to 500 ppm. The abrasive grains contained in the polishing composition are preferably colloidal silica. The average primary particle diameter of the abrasive grains contained in the polishing composition is preferably 10 to 90 nm. The content of the abrasive grains in the polishing composition is preferably 1.0 to 5.0% by mass.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 6, 2009
    Applicant: Fijimi Incorporated
    Inventors: Mikikazu Shimizu, Tomohiko Akatsuka, Kazuya Sumita
  • Publication number: 20090197415
    Abstract: To provide a polishing composition capable of increasing polishing rate and reducing surface roughness, without causing surface defects on a surface of an object to be polished; and a polishing process for a substrate to be polished. [1] a polishing composition comprising water, an abrasive, an intermediate alumina, and a polycarboxylic acid having 4 or more carbon atoms with no OH groups or a salt thereof, wherein a content of the intermediate alumina is from 1 to 90 parts by weight, based on 100 parts by weight of the abrasive; and [2] a polishing process for a substrate to be polished, comprising polishing a substrate to be polished under conditions that a composition of a polishing liquid during polishing is the composition as defined in item [1] above.
    Type: Application
    Filed: March 31, 2009
    Publication date: August 6, 2009
    Applicant: Kao Corporation
    Inventors: Shigeo FUJII, Yoshiaki Oshima, Koichi Naito
  • Publication number: 20090197413
    Abstract: The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains abrasive grains and an anionic surfactant having a monooxyethylene group or a polyoxyethylene group and has a pH of 9 to 12. If the anionic surfactant contained in the polishing composition has a polyoxyethylene group, the number of repeating oxyethylene units in the polyoxyethylene group is preferably 2 to 8. The anionic surfactant contained in the polishing composition can be an anionic surfactant that has a phosphate group, a carboxy group, or a sulfo group as well as a monooxyethylene group or a polyoxyethylene group. The content of the anionic surfactant in the polishing composition is preferably 20 to 500 ppm.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 6, 2009
    Applicant: Fijimi Incorporated
    Inventors: Mikikazu SHIMIZU, Tomohiko AKATSUKA, Kazuya SUMITA
  • Publication number: 20090191710
    Abstract: The invention provides a method for the chemical-mechanical polishing of a substrate with a chemical-mechanical polishing composition that comprises an abrasive, a halide salt, water and a polishing pad.
    Type: Application
    Filed: April 1, 2009
    Publication date: July 30, 2009
    Inventors: Phillip W. CARTER, Robert VACASSY
  • Publication number: 20090181540
    Abstract: A chemical mechanical polishing method, including: chemically and mechanically polishing a polishing target surface by continuously performing a first polishing step and a second polishing step having a polishing rate lower than a polishing rate of the first polishing step, a chemical mechanical polishing aqueous dispersion used in the first polishing step and the second polishing step being a mixture of an aqueous dispersion and an aqueous solution, and the polishing rate being changed between the first polishing step and the second polishing step by changing a mixing ratio of the aqueous dispersion and the aqueous solution.
    Type: Application
    Filed: March 17, 2009
    Publication date: July 16, 2009
    Applicant: JSR Corporation
    Inventors: Hirotaka Shida, Masayuki Hattori
  • Publication number: 20090181541
    Abstract: A polishing composition containing at least one or more aminocarboxylic acids selected from the group consisting of serine, cysteine and dihydroxyethylglycine, ceria particles and an aqueous medium; a polishing process of a semiconductor substrate, including the step of polishing a semiconductor substrate with a polishing composition for a semiconductor substrate, containing at least one or more aminocarboxylic acids selected from the group consisting of serine, cysteine and dihydroxyethylglycine, ceria particles and an aqueous medium; a method for manufacturing a semiconductor device including the step of polishing a semiconductor substrate having a film formed on its surface, the film containing a silicon atom and having a shape with dents and projections, with a polishing pad pressed against a semiconductor substrate at a polishing load of from 5 to 100 kPa in the presence of a polishing composition for a semiconductor substrate, containing at least one or more aminocarboxylic acids selected from the group
    Type: Application
    Filed: March 18, 2009
    Publication date: July 16, 2009
    Inventors: Yasuhiro YONEDA, Mami Shirota
  • Publication number: 20090181539
    Abstract: An object of the present invention is to provide a polishing agent for a semiconductor, which is used for polishing a to-be-polished surface of a silicon dioxide-based material layer in the production of a semiconductor integrated circuit device and which is excellent in the dispersion stability and produces less defects such as scratch and has excellent planarization characteristics in polishing.
    Type: Application
    Filed: March 13, 2009
    Publication date: July 16, 2009
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Yoshinori KON, Iori Yoshida
  • Publication number: 20090176373
    Abstract: The present invention is to provide a polishing technique ensuring that when polishing a to-be-polished surface in the production of a semiconductor integrated circuit device, appropriate polishing rate ratios can be obtained between a borophosphosilicate glass material layer and other materials and high planarization of the to-be-polished surface containing a borophosphosilicate glass material layer can be thereby realized. The present invention relates to a polishing agent for chemical mechanical polishing, containing a cerium oxide particle, a water-soluble polyamine, one or more basic compounds selected from the group consisting of monoethanolamine, ethylethanolamine, diethanolamine and ammonia, and water, wherein the polishing agent has a pH of from 10 to 13 and wherein the basic compound is contained in an amount of more than 0.01 mass %.
    Type: Application
    Filed: March 11, 2009
    Publication date: July 9, 2009
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Yoshinori KON, Iori YOSHIDA, Norihito NAKAZAWA
  • Publication number: 20090176371
    Abstract: The present invention provides a method of removing silicon nitride in preference to silicon dioxide by CMP. The method utilizes a polishing slurry that includes colloidal silica abrasive particles dispersed in water and an additive that suppresses the silicon dioxide removal rate but enhances the silicon nitride removal rate. In one embodiment of the invention, the additive is lysine, which is effective at a pH of about 9, or arginine, which is effective at a pH of about 8. In another embodiment of the invention, the additive is lysine mono hydrochloride in combination with picolinic acid, which is effective at a pH of about 8, or arginine in combination with picolinic acid, which is effective at a pH of about 9.
    Type: Application
    Filed: November 7, 2008
    Publication date: July 9, 2009
    Applicants: CLARKSON UNIVERSITY, INFOTONICS TECHNOLOGY CENTER INC.
    Inventors: Suryadevara V. Babu, Anita Natarajan
  • Publication number: 20090173950
    Abstract: A method comprising: providing at least one first diamond film comprising polycrystalline diamond, e.g., nanocrystalline or ultrananocrystalline diamond, disposed on a substrate, wherein the first diamond film comprises a surface comprising diamond asperities and having a first diamond film thickness, removing asperities from the first diamond film to form a second diamond film having a second diamond film thickness, wherein the second thickness is either substantially the same as the first thickness, or the second thickness is about 100 nm or less thinner than the first diamond film thickness, optionally patterning the second diamond film to expose substrate regions and, optionally, depositing semiconductor material on the exposed substrate regions, and depositing a solid layer on the second diamond film to form a first layered structure.
    Type: Application
    Filed: January 2, 2009
    Publication date: July 9, 2009
    Inventors: Charles West, John Carlisle, James Netzel, Ian Wylie, Neil Kane
  • Publication number: 20090170320
    Abstract: By creating a temperature profile across a polishing pad, a respective temperature profile may be obtained in a substrate to be polished, which may result in a respective varying removal rate across the substrate for a chemically reactive slurry material or for an electro-chemically activated polishing process. Hence, highly sensitive materials, such as material comprising low-k dielectrics, may be efficiently polished with a high degree of controllability.
    Type: Application
    Filed: June 4, 2008
    Publication date: July 2, 2009
    Inventors: Jens Heinrich, Gerd Marxsen
  • Publication number: 20090170319
    Abstract: By providing an interlayer dielectric material with different removal rates, a desired minimum material height above gate electrode structures of sophisticated transistor devices of the 65 nm technology or 45 nm technology may be obtained. The reduced removal rate above the gate electrode may thus provide enhanced process robustness during the planarization of the interlayer dielectric layer stack prior to the formation of contact elements.
    Type: Application
    Filed: May 28, 2008
    Publication date: July 2, 2009
    Inventors: Ralf Richter, Thomas Foltyn, Anthony Mowry
  • Publication number: 20090156007
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Application
    Filed: February 4, 2009
    Publication date: June 18, 2009
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Publication number: 20090156008
    Abstract: A polishing composition includes an abrasive, at least one compound of azoles and derivatives thereof, and water. The polishing composition is used in applications for polishing surfaces of semiconductor substrates in a suitable manner.
    Type: Application
    Filed: February 16, 2009
    Publication date: June 18, 2009
    Applicant: FUJIMI INCORPORATED
    Inventor: Kenji Sakamoto
  • Publication number: 20090156006
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing semi-conductor materials. The composition comprises an abrasive, an organic amino compound, an acidic metal complexing agent and an aqueous carrier A CMP method for polishing a surface of a semiconductor material utilizing the composition is also disclosed.
    Type: Application
    Filed: April 30, 2007
    Publication date: June 18, 2009
    Inventors: Sriram Anjur, Jeffrey Dysard, Paul Feeney, Timothy Johns, Richard Jenkins
  • Publication number: 20090137124
    Abstract: The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, a carboxylic acid, and water. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide.
    Type: Application
    Filed: February 2, 2009
    Publication date: May 28, 2009
    Applicant: Cabot Microelectronics Corporation
    Inventors: Phillip W. Carter, Timothy P. Johns
  • Publication number: 20090137123
    Abstract: A polishing composition contains at least one water soluble polymer selected from the group consisting of polyvinylpyrrolidone and poly(N-vinylformamide), and an alkali, and preferably further contains at least one of a chelating agent and an abrasive grain. The water soluble polymer preferably has a weight average molecular weight of 6,000 to 4,000,000. The polishing composition is mainly used in polishing of the surfaces of semiconductor wafers such as silicon wafers, especially used in preliminary polishing of the surfaces of such wafers.
    Type: Application
    Filed: January 27, 2009
    Publication date: May 28, 2009
    Applicant: FUJIMI INCORPORATED
    Inventor: Yasuhide Uemura
  • Publication number: 20090137122
    Abstract: A method of passivating a CMP composition by dilution and determining the relationship between the extent of dilution and the static etch rate of copper. Such relationship may be used to control the CMP composition during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface.
    Type: Application
    Filed: September 19, 2008
    Publication date: May 28, 2009
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Jun Liu, Mackenzie King, Michael S. Darsillo, Karl E. Boggs, Jeffrey F. Roeder, Peter Wrschka, Thomas H. Baum
  • Publication number: 20090137121
    Abstract: The present disclosure is directed at a chemical-mechanical planarization polishing pad comprising interconnecting elements and a polymer filler material, wherein the interconnecting elements include interconnecting junction points that are present at a density of 1 interconnecting junction point/cm3 to 1000 interconnecting junction points/cm3, and wherein the interconnecting elements have a length between interconnection junction points of 0.1 microns to 20 cm.
    Type: Application
    Filed: October 23, 2008
    Publication date: May 28, 2009
    Applicant: innoPad, Inc.
    Inventors: Oscar K. HSU, Paul Lefevre, David Adam Wells, Marc C. Jin, John Erik Aldeborgh
  • Patent number: 7528040
    Abstract: Methods of forming silicon carbide power devices are provided. An n? silicon carbide layer is provided on a silicon carbide substrate. A p-type silicon carbide well region is provided on the n? silicon carbide layer. A buried region of p+ silicon carbide is provided on the p-type silicon carbide well region. An n+ region of silicon carbide is provided on the buried region of p+ silicon carbide. A channel region of the power device is adjacent the buried region of p+ silicon carbide and the n+ region of silicon carbide. An n? region is provided on the channel region and a portion of the n? region is removed from the channel region so that a portion of the n? region remains on the channel region to provide a reduction in a surface roughness of the channel region.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: May 5, 2009
    Assignee: Cree, Inc.
    Inventors: Mrinal K. Das, Michael Laughner
  • Publication number: 20090111267
    Abstract: A method for making a MEMS structure comprises patterning recesses in a dielectric layer overlying a substrate, each recess being disposed between adjacent mesas of dielectric material. A conformal layer of semiconductor material is formed overlying the recesses and mesas. The conformal layer is chemical mechanically polished to form a chemical mechanical polished surface, wherein the chemical mechanical polishing is sufficient to create dished portions of semiconductor material within the plurality of recesses. Each dished portion has a depth proximate a central portion thereof that is less than a thickness of the semiconductor material proximate an outer portion thereof. A semiconductor wafer is then bonded to the chemical mechanical polished surface. The bonded semiconductor wafer is patterned with openings according to the requirements of a desired MEMS transducer. Lastly, the MEMS transducer is released.
    Type: Application
    Filed: October 31, 2007
    Publication date: April 30, 2009
    Inventors: Woo Tae Park, Hemant D. Desai
  • Publication number: 20090111268
    Abstract: A reworking method for integrated circuit devices includes the following: providing a substrate having a first base layer and a first dielectric layer formed thereon, performing a first dry etching process to remove the first dielectric layer, performing a CMP process to remove the first base layer, and sequentially reforming a second base layer and a second dielectric layer on the substrate. When certain layers on the IC device have hailed an inspection or when quality defects are found, the defective layer is removed according to the provided reworking method.
    Type: Application
    Filed: October 31, 2007
    Publication date: April 30, 2009
    Inventors: Yan-Home Liu, Yung-Chieh Kuo, Yi-Ham Tsou, Jeng-Ho Wang, Cheng-Wei Chen, Hsin-Yi Lu
  • Publication number: 20090093122
    Abstract: The present invention provides a method for producing a group III-V nitride semiconductor substrate. The method for producing a group III-V nitride semiconductor substrate comprises the steps of (I-1) to (I-6): (I-1) placing inorganic particles on a template, (I-2) dry-etching the template by using the inorganic particles as an etching mask, to form convexes on the template, (I-3) forming a coating film for an epitaxial growth mask on the template, (I-4) removing the inorganic particles to form an exposed surface of the template, (I-5) growing a group III-V nitride semiconductor on the exposed surface of the template, and (I-6) separating the group III-V nitride semiconductor from the template.
    Type: Application
    Filed: March 8, 2007
    Publication date: April 9, 2009
    Applicant: SUMITOMO CHEMICAL COMPANY LIMITED
    Inventors: Kazumasa Ueda, Naohiro Nishikawa, Kenji Kasahara
  • Publication number: 20090068838
    Abstract: A method for forming micropatterns in a semiconductor device includes forming a first etch stop layer over a etch target layer, forming a second etch stop layer over the first etch stop layer, forming a first sacrificial layer over the second etch stop layer, etching portions of the first sacrificial layer and second etch stop layer to form first sacrificial patterns, forming an insulation layer along an upper surface of the first etch stop layer, forming a second sacrificial layer over the insulation layer to cover the insulation layer, planarizing the second sacrificial layer and the insulation layer to expose the first sacrificial patterns, removing the first sacrificial patterns and the second sacrificial layer, etching the second etch stop layer and insulation layer to thereby form second sacrificial patterns, etching the first etch stop layer, and etching the etch target layer.
    Type: Application
    Filed: June 28, 2008
    Publication date: March 12, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Won-Kyu KIM, Ki-Lyoung Lee