Of Silicon (epo) Patents (Class 257/E21.268)
  • Patent number: 7687377
    Abstract: In a method of fabricating a phase change memory (PCM) device, a substrate having bottom electrodes formed therein is provided. A first dielectric layer having cup-shaped thermal electrodes is formed over the substrate. Second dielectric layers are formed on the substrate. Stacked structures are formed on the substrate. A PC material film is formed over the substrate and covers the stacked structures and the second dielectric layers. The PC material film is anisotropically etched to form PC material spacers on sidewalls of the stacked structures, and each of the PC material spacers physically and electrically contacts each of the cup-shaped thermal electrodes and top electrodes. The PC material spacers include phase change material. The PC material spacers are over-etched to remove the PC material film on the sidewalls of the second dielectric layers.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: March 30, 2010
    Assignee: Industrial Technology Research Institute
    Inventor: Wei-Su Chen
  • Patent number: 7678677
    Abstract: A method for manufacturing a semiconductor device includes: forming a device isolation layer in a semiconductor substrate; forming a gate insulating layer and a gate electrode on the semiconductor substrate; depositing a triple layer over the resulting structure, the triple layer including a bottom oxide layer, a nitride oxide layer and a top oxide layer; and etching the triple layer to form spacers.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: March 16, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong Yel Jang
  • Patent number: 7670902
    Abstract: A method for fabricating an integrated circuit device. A plurality of MOS transistor devices are formed overlying a semiconductor substrate. Each of the MOS transistor devices includes a nitride cap and nitride sidewall spacers. An interlayer dielectric layer is formed overlying the plurality of MOS transistor devices. A portion of the interlayer dielectric material is removed to expose at least portions of three MOS transistor devices and expose at least three regions between respective MOS transistor devices. The method deposits polysilicon fill material overlying the exposed three regions and overlying the three MOS transistor devices. The method performs a chemical mechanical planarization process on the polysilicon material to reduce a thickness of the polysilicon material exposing a portion of the interlayer dielectric material until the cap nitride layer on each of the MOS transistors has been exposed using the cap nitride layer as a polish stop layer.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: March 2, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chris C. Yu, Hongxiu Peng
  • Publication number: 20100048033
    Abstract: An oxide film-forming apparatus, comprising: a process chamber for disposing an electronic device substrate at a predetermined position; water vapor supply means for supplying water vapor into the process chamber; and plasma exciting means for activating the water vapor with plasma, whereby the surface of the electronic device substrate can be irradiated with the plasma based on the water vapor.
    Type: Application
    Filed: November 4, 2009
    Publication date: February 25, 2010
    Inventors: Junichi Kitagawa, Shinji Ide, Shigenori Ozaki
  • Patent number: 7659475
    Abstract: The present invention provides a method for dielectric passivating the surface of a solar cell by accumulation of negative fixed charges of a first type at the interface between semiconductor material and a passivating material. According to the invention the passivating material comprises an oxide system, for example a binary oxide system, comprising Al2O3 and at least one metal oxide or metalloid oxide which enhances the tetrahedral structure of Al2O3, for example, an (Al2O3)x(TiO2)1-x alloy. In this way it is possible to combine the desirable properties from at least two different oxides, while eliminating the undesirable properties of each individual material. The oxide system can be deposited onto the semiconductor surface by means of a sol-gel method, comprising the steps of formation of the metal oxide and/or metalloid oxide sol and the aluminum solution and then carefully mixing these together under stirring and ultrasonic treatment.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: February 9, 2010
    Assignee: IMEC
    Inventors: Guido Agostinelli, Jozef Szlufcik, Petko Vitanov, Antoaneta Harizanova
  • Patent number: 7659214
    Abstract: A method for growing an oxynitride film on a substrate includes positioning the substrate in a process chamber, heating the process chamber, flowing a first wet process gas comprising water vapor into the process chamber, and reacting the substrate with the first wet process gas to grow an oxide film on the substrate. The method further includes flowing a second wet process gas comprising water vapor and a nitriding gas comprising nitric oxide into the process chamber, and reacting the oxide film and the substrate with the second wet process gas to grow an oxynitride film. In another embodiment, the method further comprises annealing the substrate containing the oxynitride film in an annealing gas. According to one embodiment of the method where the substrate is silicon, a silicon oxynitride film can be formed that exhibits a nitrogen peak concentration of approximately 3 atomic % or greater.
    Type: Grant
    Filed: September 30, 2007
    Date of Patent: February 9, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kimberly G. Reid, Anthony Dip
  • Patent number: 7651953
    Abstract: Multiple sequential processes are conducted in a reaction chamber to form ultra high quality silicon-containing compound layers, including silicon nitride layers. In a preferred embodiment, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. A silicon nitride layer is then formed by nitriding the silicon layer. By repeating these steps, a silicon nitride layer of a desired thickness is formed.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: January 26, 2010
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Christiaan J. Werkhoven, Christophe F. Pomarede
  • Patent number: 7615500
    Abstract: A method for depositing a film includes: (a) processing a wafer, including forming a high dielectric constant film on a first wafer; and achieving nitridation of the high dielectric constant film formed on the first wafer; and (b) performing coating process including forming a high dielectric constant film on a second wafer; and achieving nitridation of the high dielectric constant film formed on the second wafer. The processing the wafer and the performing the coating process are carried out in the same reaction chamber. The coating process is carried out before the processing the wafer.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: November 10, 2009
    Assignees: NEC Electronics Corporation, NEC Corporation
    Inventors: Kensuke Takano, Ichiro Yamamoto, Koji Watanabe
  • Publication number: 20090243045
    Abstract: A semiconductor package includes a semiconductor die having a contact pad formed over a top surface of the semiconductor die. The semiconductor die may include an optical device. In one embodiment, a second semiconductor die is deposited over the semiconductor die. The package includes an insulating material deposited around a portion of the semiconductor die. In one embodiment, the insulating material includes an organic material. A first through hole via (THV) is formed in the insulating material using a conductive material. The first THV may form a protrusion extending beyond a bottom surface of the semiconductor die opposite the top surface and be connected to a first semiconductor device. A redistribution layer (RDL) may be deposited over the semiconductor die. The RDL forms an electrical connection between the contact pad of the semiconductor die and the first THV.
    Type: Application
    Filed: March 27, 2008
    Publication date: October 1, 2009
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Reza A. Pagaila, Zigmund R. Camacho, Lionel Chien Hui Tay, Byung Tai Do
  • Patent number: 7569487
    Abstract: A method for forming atomic layer deposition. The method includes placing a semiconductor substrate (e.g., wafer, LCD panel) including an upper surface in a chamber. The upper surface includes one or more carbon bearing species and a native oxide layer. The method includes introducing an oxidizing species into the chamber. The method includes treating the upper surface of the semiconductor substrate to remove the one or more carbon bearing species and form a particle film of silicon dioxide overlying the upper surface. The method includes introducing an inert gas into the chamber to purge the chamber of the oxidizing species and other species associated with the one or more carbon bearing species. A reducing species is introduced into the chamber to strip the particle film of silicon dioxide to create a substantially clean surface treated with hydrogen bearing species. The method includes performing another process (e.g.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: August 4, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Fumitake Mieno
  • Patent number: 7563726
    Abstract: Disclosed are a semiconductor device with dual gate dielectric layers and a method for fabricating the same. The semiconductor device includes: a silicon substrate divided into a cell region where NMOS transistors are formed and a peripheral region where NMOS and PMOS transistors are formed; a targeted silicon oxide layer formed on the silicon substrate in the cell region; an oxynitride layer formed on the silicon substrate in the peripheral region; a first gate structure formed in the cell region; a second gate structure formed on the oxynitride layer in an NMOS region of the peripheral region; and a third gate structure formed on the oxynitride layer in a PMOS region of the peripheral region.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: July 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Heung-Jae Cho, Kwan-Yong Lim, Seung-Ryong Lee
  • Publication number: 20090156009
    Abstract: Provided is a method of manufacturing a semiconductor device capable of providing a stable trench depth, including: forming, on a semiconductor substrate, a first film having a high etching selectivity with respect to the semiconductor substrate; forming, on the first film, a second film having a high etching selectivity with respect to the first film; etching a region of a part of the second film and the first film to expose a surface of the semiconductor substrate in the region; and etching the exposed surface of the semiconductor substrate to form a trench.
    Type: Application
    Filed: December 4, 2008
    Publication date: June 18, 2009
    Inventors: Tomomitsu Risaki, Jun Osanai
  • Publication number: 20090104792
    Abstract: Disclosed is a producing method of a semiconductor device, including: loading at least one substrate formed on a surface thereof with a tungsten film into a processing chamber; and forming a silicon oxide film on the surface of the substrate which includes the tungsten film by alternately repeating following steps a plurality of times: supplying the processing chamber with a first reaction material including a silicon atom while heating the substrate at 400° C.; and supplying the processing chamber with hydrogen and water which is a second reaction material while heating the substrate at 400° C. at a ratio of the water with respect to the hydrogen of 2×10?1 or lower.
    Type: Application
    Filed: January 17, 2007
    Publication date: April 23, 2009
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Masayuki Asai, Norikazu Mizuno
  • Patent number: 7521316
    Abstract: Methods of forming a semiconductor device may include forming a tunnel oxide layer on a semiconductor substrate, forming a gate structure on the tunnel oxide layer, forming a leakage barrier oxide, and forming an insulating spacer. More particularly, the tunnel oxide layer may be between the gate structure and the substrate, and the gate structure may include a first gate electrode on the tunnel oxide layer, an inter-gate dielectric on the first gate electrode, and a second gate electrode on the inter-gate dielectric with the inter-gate dielectric between the first and second gate electrodes. The leakage barrier oxide may be formed on sidewalls of the second gate electrode. The insulating spacer may be formed on the leakage barrier oxide with the leakage barrier oxide between the insulating spacer and the sidewalls of the second gate electrode. In addition, the insulating spacer and the leakage barrier oxide may include different materials. Related structures are also discussed.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: April 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong-Hee Sohn, Chang-Won Lee, Sun-Pil Youn, Gil-Heyun Choi, Byung-Hak Lee, Jong-Ryeol Yoo, Hee-Sook Park
  • Patent number: 7517814
    Abstract: A method for preparing an oxynitride film on a substrate comprising forming the oxynitride film by exposing a surface of the substrate to oxygen radicals and nitrogen radicals formed by plasma induced dissociation of a process gas comprising nitrogen and oxygen using plasma based on microwave irradiation via a plane antenna member having a plurality of slits.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: April 14, 2009
    Assignees: Tokyo Electron, Ltd., International Business Machines Corporation
    Inventors: Cory S. Wajda, Kristen Scheer, Toshihara Furakawa
  • Publication number: 20090090984
    Abstract: Methods of achieving high breakdown voltages in semiconductor devices by suppressing the surface flashover using high dielectric strength insulating encapsulation material are generally described. In one embodiment of the present invention, surface flashover in AlGaN/GaN heterostructure field-effect transistors (HFETs) is suppressed by using high dielectric strength insulating encapsulation material. Surface flashover in as-fabricated III-Nitride based HFETs limits the operating voltages at levels well below the breakdown voltages of GaN.
    Type: Application
    Filed: April 2, 2008
    Publication date: April 9, 2009
    Inventors: M. Asif Khan, Vinod Adivarahan, Qhalid Fareed, Grigory Simin, Naveen Tipirneni
  • Patent number: 7511381
    Abstract: A thin film transistor (TFT) and a method of manufacturing the same are provided. The TFT includes a transparent substrate, an insulating layer on a region of the transparent substrate, a monocrystalline silicon layer, which includes source, drain, and channel regions, on the insulating layer and a gate insulating film and a gate electrode on the channel region of the monocrystalline silicon layer.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: March 31, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Takashi Noguchi, Wenxu Xianyu, Hans S. Cho, Huaxiang Yin
  • Patent number: 7507676
    Abstract: An insulating film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas containing a silane family gas, a second process gas containing a nitriding gas, and a third process gas containing a carbon hydride gas. This method includes repeatedly performing supply of the first process gas to the process field, supply of the second process gas to the process field, and supply of the third process gas to the process field. The supply of the third process gas includes an excitation period of supplying the third process gas to the process field while exciting the third process gas by an exciting mechanism.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: March 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Pao-Hwa Chou, Kazuhide Hasebe
  • Publication number: 20090033362
    Abstract: In one aspect, a method of forming a structure on a substrate is disclosed. For example, the method includes forming a first mask layer and a second mask layer, modifying a material property in regions of the first and second mask layers, and forming the structure based on the modified regions.
    Type: Application
    Filed: July 31, 2007
    Publication date: February 5, 2009
    Inventors: Dirk Manger, Stephan Wege, Rolf Weis, Christoph Noelscher
  • Publication number: 20080311760
    Abstract: A silicon nitride film is formed on a target substrate by performing a plurality of cycles in a process field configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas. Each of the cycles includes a first supply step of performing supply of the first process gas while maintaining a shut-off state of supply of the second process gas, and a second supply step of performing supply of the second process gas, while maintaining a shut-off state of supply of the first process gas. The method is arranged to repeat a first cycle set with the second supply step including an excitation period of exciting the second process gas and a second cycle set with the second supply step including no period of exciting the second process gas.
    Type: Application
    Filed: June 6, 2008
    Publication date: December 18, 2008
    Inventors: Nobutake Nodera, Masanobu Matsunaga, Kazuhide Hasebe, Kota Umezawa, Pao-Hwa Chou
  • Publication number: 20080305609
    Abstract: A method for fabricating a seamless shallow trench isolation includes providing a semiconductor substrate having at least a shallow trench that is filled by a dielectric layer with a seam, forming a dielectric layer filling the shallow trench with a seam, forming at least one healing layer on the dielectric layer, and performing a low-temperature steam annealing process to eliminate the seam.
    Type: Application
    Filed: June 6, 2007
    Publication date: December 11, 2008
    Inventor: Hui-Shen Shih
  • Patent number: 7456067
    Abstract: A method of performing an STI gapfill process for semiconductor devices is provided. In a specific embodiment of the invention, the method includes forming an stop layer overlying a substrate. In addition, the method includes forming a trench within the substrate, with the trench having sidewalls, a bottom, and a depth. The method additionally includes forming a liner within the trench, the liner lining the sidewalls and bottom of the trench. Furthermore, the method includes filling the trench to a first depth with a first oxide. The first oxide is filled using a spin-on process. The method also includes performing a first densification process on the first oxide within the trench. In addition, the method includes depositing a second oxide within the trench using an HDP process to fill at least the entirety of the trench. The method also includes performing a second densification process on the first and second oxides within the trench.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: November 25, 2008
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Publication number: 20080230913
    Abstract: The invention provides a stackable semiconductor device and a fabrication method thereof, including providing a wafer having a plurality of dies mounted thereon, both the die and the wafer having an active surface and a non-active surface opposing one another respectively, wherein each die has a plurality of solder pads formed on the active surface thereof and a groove formed between adjacent solder pads to form a first metal layer therein that is electrically connected to the solder pads; subsequently thinning the non-active surface of the wafer to where the grooves are located to expose the first metal layer therefrom, and forming a second metal layer on the non-active surface of the wafer for electrically connecting with the first metal layer; and separating the dies to form a plurality of stackable semiconductor devices.
    Type: Application
    Filed: March 18, 2008
    Publication date: September 25, 2008
    Applicant: Siliconware Precision Industries Co., Ltd.
    Inventors: Chien-Ping Huang, Chin-Huang Chang, Chih-Ming Huang, Chun-Chi Ke
  • Publication number: 20080211031
    Abstract: A device isolation film is formed in a semiconductor substrate at a border portion between a first region and a second region for defining a first active region in the first region and a second active region in the second region. A gate insulating film and a gate electrode is formed over the semiconductor substrate in the first region. A first photoresist film covering the second region and having an opening exposing the first active region and having an edge on the border portion of the opening positioned nearer the second active region than a middle of the device isolation film is formed over the semiconductor substrate with the gate electrode. Impurity ions are implanted from a direction tilted from a normal direction of the semiconductor substrate with the first photoresist film and the gate electrode as a mask to form pocket regions in the semiconductor substrate on both sides of the gate electrodes.
    Type: Application
    Filed: February 29, 2008
    Publication date: September 4, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Takashi SAKUMA
  • Patent number: 7416907
    Abstract: A low temperature process for fabricating a high-performance and reliable semiconductor device in high yield, comprising forming a silicon oxide film as a gate insulator by chemical vapor deposition using TEOS as a starting material under an oxygen, ozone, or a nitrogen oxide atmosphere on a semiconductor coating having provided on an insulator substrate; and irradiating a pulsed laser beam or an intense light thereto to remove clusters of such as carbon and hydrocarbon to thereby eliminate trap centers from the silicon oxide film. Also claimed is a process comprising implanting nitrogen ions into a silicon oxide film and annealing the film thereafter using an infrared light, to thereby obtain a silicon oxynitride film as a gate insulator having a densified film structure, a high dielectric constant, and an improved-withstand voltage.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: August 26, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hongyong Zhang
  • Patent number: 7396748
    Abstract: A semiconductor device comprising a semiconductor substrate and a MOSFET provided on the semiconductor substrate, the MOSFET including a gate insulating film and a gate electrode provided on the gate insulating film, wherein the gate insulating film has a higher dielectric constant in a side contacting the semiconductor substrate than in a side contacting the gate electrode.
    Type: Grant
    Filed: August 1, 2005
    Date of Patent: July 8, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mariko Takayanagi
  • Patent number: 7361613
    Abstract: A gate insulating film made of silicon oxynitride is disposed on the partial surface area of a semiconductor substrate. A gate electrode is disposed on the gate insulating film. Source and drain regions are disposed on both sides of the gate electrode. An existence ratio of subject nitrogen atoms to a total number of nitrogen atoms in the gate insulating film is 20% or smaller, wherein three bonds of each subject nitrogen atom are all coupled to silicon atoms and remaining three bonds of each of three silicon atoms connected to the subject nitrogen atom are all coupled to other nitrogen atoms.
    Type: Grant
    Filed: July 6, 2006
    Date of Patent: April 22, 2008
    Assignee: Fujitsu Limited
    Inventors: Mitsuaki Hori, Naoyoshi Tamura, Mayumi Shigeno
  • Patent number: 7351668
    Abstract: An insulating film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas containing a silane family gas, a second process gas containing a nitriding or oxynitriding gas, and a third process gas containing a carbon hydride gas. This method alternately includes first to fourth steps. The first step performs supply of the first and third process gases to the field while stopping supply of the second process gas to the process field. The second step stops supply of the first to third process gases to the field. The third step performs supply of the second process gas to the field while stopping supply of the first and third process gases to the field. The fourth step stops supply of the first to third process gases to the field.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: April 1, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Pao-Hwa Chou, Kazuhide Hasebe
  • Patent number: 7351670
    Abstract: Silicon nitride film is formed on a silicon wafer mounted in a boat in an LPCVD tool by feeding a silicon source (SiH2Cl2, SiCl4, Si2Cl6, etc.) from an injector and feeding a mixed gas of monomethylamine (CH3NH2) and ammonia (NH3) as the nitrogen source from an injector. This addition of monomethylamine to the source substances for film production makes it possible to provide an improved film quality and improved leakage characteristics even at low temperatures (450-600° C.).
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: April 1, 2008
    Assignee: L'Air Liquide, Societe Anonyme A Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Takeshi Hoshi, Tsuyoshi Saito, Takako Kimura, Christian Dussarrat, Kazutaka Yanagita
  • Publication number: 20080017938
    Abstract: A method for manufacturing a semiconductor device includes: forming a device isolation layer in a semiconductor substrate; forming a gate insulating layer and a gate electrode on the semiconductor substrate; depositing a triple layer over the resulting structure, the triple layer including a bottom oxide layer, a nitride oxide layer and a top oxide layer; and etching the triple layer to form spacers.
    Type: Application
    Filed: July 20, 2007
    Publication date: January 24, 2008
    Inventor: Jeong Jang
  • Publication number: 20070284699
    Abstract: Microfabricated devices for operation in a fluid that include a substrate that has a first and second surface and a first electrode material layer located over the first surface of the substrate. The devices have a piezoelectric material layer located over the first electrode material layer and a second electrode material layer located over the piezoelectric material layer. The devices also include a layer of isolation material located over the second electrode material layer that at least one of chemically or electrically isolates a portion of the second electrode material layer from a fluid. Some devices include a layer of conductive material located over the layer of isolation material.
    Type: Application
    Filed: April 17, 2007
    Publication date: December 13, 2007
    Applicant: BioScale, Inc.
    Inventors: Michael Miller, Shivalik Bakshi
  • Patent number: 7297641
    Abstract: Multiple sequential processes are conducted in a reaction chamber to form ultra high quality silicon-containing compound layers, including silicon nitride layers. In a preferred embodiment, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. A silicon nitride layer is then formed by nitriding the silicon layer. By repeating these steps, a silicon nitride layer of a desired thickness is formed.
    Type: Grant
    Filed: July 18, 2003
    Date of Patent: November 20, 2007
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Christiaan J. Werkhoven, Christophe F. Pomarede
  • Patent number: 7294582
    Abstract: Sequential processes are conducted in a batch reaction chamber to form ultra high quality silicon-containing compound layers, e.g., silicon nitride layers, at low temperatures. Under reaction rate limited conditions, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. Trisilane flow is interrupted. A silicon nitride layer is then formed by nitriding the silicon layer with nitrogen radicals, such as by pulsing the plasma power (remote or in situ) on after a trisilane step. The nitrogen radical supply is stopped. Optionally non-activated ammonia is also supplied, continuously or intermittently. If desired, the process is repeated for greater thickness, purging the reactor after each trisilane and silicon compounding step to avoid gas phase reactions, with each cycle producing about 5-7 angstroms of silicon nitride.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: November 13, 2007
    Assignee: ASM International, N.V.
    Inventors: Ruben Haverkort, Yuet Mei Wan, Marinus J. De Blank, Cornelius A. van der Jeugd, Jacobus Johannes Beulens, Michael A. Todd, Keith D. Weeks, Christian J. Werkhoven, Christophe F. Pomarede
  • Patent number: 7279435
    Abstract: A method and apparatus for preventing N2O from becoming super critical during a high pressure oxidation stage within a high pressure oxidation furnace are disclosed. The method and apparatus utilize a catalyst to catalytically disassociate N2O as it enters the high pressure oxidation furnace. This catalyst is used in an environment of between five (5) atmospheres to twenty-five (25) atmospheres N2O and a temperature range of 600° C. to 750° C., which are the conditions that lead to the N2O going super critical. By preventing the N2O from becoming super critical, the reaction is controlled that prevents both temperature and pressure spikes. The catalyst can be selected from the group of noble transition metals and their oxides. This group can comprise palladium, platinum, iridium, rhodium, nickel, silver, and gold.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Daniel F. Gealy, Dave Chapek, Scott DeBoer, Husam N. Al-Shareef, Randhir Thakur
  • Patent number: 7217612
    Abstract: A semiconductor device including: a first gate insulating film which is pattern-formed on an N type well region within a P type semiconductor substrate; a second gate insulating film which is formed on the semiconductor substrate except for this first gate insulating film; a gate electrode, which is formed in such a manner that this gate electrode is bridged over the first gate insulating film and the second gate insulating film; a P type body region which is formed in such a manner that this P type body region is located adjacent to the gate electrode; an N type source region and a channel region, which are formed within this P type body region; and an N type drain region which is formed at a position separated from the P type body region.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: May 15, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Eiji Nishibe, Shuichi Kikuchi
  • Patent number: 7214628
    Abstract: A method of fabricating a gate of a transistor device on a semiconductor substrate, includes the steps of placing the substrate in a vacuum chamber of a plasma reactor and introducing into the chamber a process gas that includes oxygen while maintaining a vacuum pressure in the chamber. An oxide insulating layer on the order of several Angstroms in thickness is formed at the surface of the substrate by generating a plasma in a plasma generation region within the vacuum chamber during successive “on” times, and allowing ion energy of the plasma to decay during successive “off” intervals separating the successive “on” intervals, the “on” and “off” intervals defining a controllable duty cycle. During formation of the oxide insulating layer, the duty cycle is limited so as to limit formation of ion bombardment-induced defects in the insulating layer, while the vacuum pressure is limited so as to limit formation of contamination-induced defects in the insulating layer.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: May 8, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Thai Cheng Chua
  • Patent number: 7192887
    Abstract: A method of manufacturing a MOS transistor is provided that achieves high-speed devices by reducing nitrogen diffusion to a silicon substrate interface due to redistribution of nitrogen and further suppressing its diffusion to a polysilicon interface, which prevents realization of faster transistors. An oxide film is exposed to a nitriding atmosphere to introduce nitrogen into the oxide film, and a thermal treatment process is performed in an oxidizing atmosphere. The thermal treatment process temperature in the oxidizing atmosphere is made equal to or higher than the maximum temperature in all the thermal treatment processes that are performed later than that thermal treatment process step.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: March 20, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Eiji Hasegawa
  • Patent number: 7163901
    Abstract: A method is provided for forming a thin film layer on a substrate. The method includes the steps of doping a thin surface layer on the substrate with low energy ions of a dopant material, and heating the thin surface layer sufficiently to produce a reaction between the dopant material and the surface layer. The heating step is performed simultaneously with at least part of the doping step. The doping step may utilize plasma doping of the thin surface layer. In one embodiment, the doping step includes plasma doping of a silicon oxide layer with nitrogen ions. The heating step may utilize thermal conduction or heating with radiation, such as heating with optical energy. The process may be used for forming dielectric layers having a thickness of 50 angstroms or less.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: January 16, 2007
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Daniel F. Downey
  • Patent number: 7109131
    Abstract: The present invention relates generally to semiconductor fabrication. More particularly, the present invention relates to system and method of selectively oxidizing one material with respect to another material formed on a semiconductor substrate. A hydrogen-rich oxidation system for performing the process are provided in which innovative safety features are included to avoid the dangers to personnel and equipment that are inherent in working with hydrogen-rich atmospheres.
    Type: Grant
    Filed: June 6, 2003
    Date of Patent: September 19, 2006
    Assignee: Aviza Technology, Inc.
    Inventors: Robert B. Herring, Cole Porter, Travis Dodwell, Ed Nazareno, Chris Ratliff, Anindita Chatterji
  • Patent number: 6713780
    Abstract: A method of providing a substantially planar trench isolation region having substantially rounded corners, said method comprising the steps of: (a) forming a film stack on a surface of a substrate, said film stack comprising an oxide layer, a polysilicon layer and a nitride layer; (b) patterning said film stack to form at least one trench within said substrate, wherein said patterning exposes sidewalls of said oxide layer, polysilicon layer and nitride layer; (c) oxidizing the at least one trench and said exposed sidewalls of said oxide layer and said polysilicon layer so as to thermally grow a conformal oxide layer in said trench and on said exposed sidewalls of said oxide layer and said polysilicon layer; (d) filling said trench with a trench dielectric material; and (e) planarizing to said surface of said substrate.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: March 30, 2004
    Assignee: International Business Machines Corporation
    Inventor: Chung Hon Lam