To Modify Their Internal Properties, E.g., To Produce Internal Imperfections (epo) Patents (Class 257/E21.317)
  • Publication number: 20110189805
    Abstract: An object of the present invention is to provide a method of producing a silicon wafer and a method of producing an epitaxial wafer, which enable easily forming a gettering site in a relatively short period of time and effectively suppressing occurrence of dislocation induced by internal stresses. Specifically, the present invention provides a method of producing a silicon wafer, comprising: irradiating a first laser beam having a relatively long wavelength and a second laser beam having a relatively short wavelength onto a portion of a silicon wafer located at a predetermined depth measured from a surface of the silicon wafer, wherein the first laser beam is concentrated at a portion located at a predetermined depth of the wafer to form a process-affected layer for gettering heavy metals thereat, the second laser beam is concentrated at a beam-concentration portion in the vicinity of the surface of the wafer to melt the beam-concentration portion, the beam-concentration portion is then recrystallized.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 4, 2011
    Applicant: SUMCO CORPORATION
    Inventor: Kazunari Kurita
  • Publication number: 20110183469
    Abstract: A plurality of FPGA dice is disposed upon a semiconductor substrate. In order both to connect thousands of signal interconnect lines between the plurality of FPGA dice and to supply the immense power required, it is desired that the substrate construction include two different portions, each manufactured using incompatible processes. The first portion is a signal interconnect structure containing a thin conductor layers portion characterized as having a plurality of thin, fine-pitch conductors. The second portion is a power connection structure that includes thick conductors and vertical through-holes. The through-holes contain conductive material and supply power to the FPGA dice from power bus bars located at the other side of the semiconductor substrate. The portions are joined at the wafer level by polishing the wafer surfaces within a few atoms of flatness and subsequent cleaning. The portions are then fusion bonded together or combined using an adhesive material.
    Type: Application
    Filed: April 4, 2011
    Publication date: July 28, 2011
    Inventor: Robert O. Conn
  • Publication number: 20110143480
    Abstract: A cleave plane is defined in a semiconductor donor body by implanting ions into the wafer. A lamina is cleaved from the donor body, and a photovoltaic cell is formed which comprises the lamina. The implant may cause some damage to the crystal structure of the lamina. This damage can be repaired by annealing the lamina using microwave energy. If the lamina is bonded to a receiver element, the receiver element may be either transparent to microwaves, or may reflect microwaves, while the semiconductor material absorbs the microwaves. In this way the lamina can be annealed at high temperature while the receiver element remains cooler.
    Type: Application
    Filed: December 11, 2009
    Publication date: June 16, 2011
    Applicant: TWIN CREEKS TECHNOLOGIES, INC.
    Inventors: Mohamed M. Hilali, Murali Venkatesan, Gopal Prabhu, Zhiyong Li
  • Publication number: 20110140243
    Abstract: A semiconductor device comprises a semiconductor substrate, a first electrode formed on a first main surface of the semiconductor substrate, and a second electrode formed on a second main surface of the semiconductor substrate. The semiconductor substrate includes a first region in which a density of oxygen-vacancy defects is greater than a density of vacancy cluster defects, and a second region in which the density of vacancy cluster defects is greater than the density of oxygen-vacancy defects.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 16, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Tadashi MISUMI, Shinya IWASAKI, Takahide SUGIYAMA
  • Publication number: 20110133202
    Abstract: Methods for making and/or treating articles of semiconducting material are disclosed. In various methods, a first article of semiconducting material is provided, the first article of semiconducting material is heated sufficiently to melt the semiconducting material, and the melted semiconducting material is solidified in a direction substantially parallel to a shortest dimension of the melted article of semiconducting material. Articles of semiconducting materials made by methods described herein are also disclosed.
    Type: Application
    Filed: December 8, 2009
    Publication date: June 9, 2011
    Inventors: Glen Bennett Cook, Prantik Mazumder, Balram Suman, Natesan Venkataraman
  • Publication number: 20110136287
    Abstract: In a method of annealing a Cd1?xZnxTe sample/wafer, surface contamination is removed from the sample/wafer and the sample/wafer is then introduced into a chamber. The chamber is evacuated and Hydrogen or Deuterium gas is introduced into the evacuated chamber. The sample/wafer is heated to a suitable annealing temperature in the presence of the Hydrogen or Deuterium gas for a predetermined period of time.
    Type: Application
    Filed: June 2, 2009
    Publication date: June 9, 2011
    Applicant: II-VI INCORPORATED
    Inventors: Csaba Szeles, Michael Prokesch, Utpal Chakrabarti
  • Publication number: 20110129977
    Abstract: A plasma doping method capable of introducing impurities into an object to be processed uniformly is supplied. Plasma of a diborane gas containing boron, which is a p-type impurity, and an argon gas, which is a rare gas, is generated, and no bias potential is applied to a silicon substrate. Thereby, the boron radicals in the plasma are deposited on the surface of the silicon substrate. After that, the supply of the diborane gas is stopped, and bias potential is applied to the silicon substrate. Thereby, the argon ions in the plasma are radiated onto the surface of the silicon substrate. The radiated argon ions collide with the boron radicals, and thereby boron radicals are introduced into the silicon substrate. The introduced boron radicals are activated by thermal processing, and thereby a p-type impurity diffusion layer is formed in the silicon substrate.
    Type: Application
    Filed: August 7, 2009
    Publication date: June 2, 2011
    Inventors: Kazuhiko Tonari, Tsutomu Nishihashi
  • Patent number: 7939859
    Abstract: A solid state imaging device includes a transfer transistor for transferring signal charges generated by photoelectric conversion to a floating diffusion layer, a reset transistor for resetting a potential of the floating diffusion layer, and an amplifying transistor for outputting a signal corresponding to the potential of the floating diffusion layer. A low concentration impurity region having an impurity concentration lower than that of the first conductivity type semiconductor region is formed in part of a surface portion of the first conductivity type semiconductor region which is located below a gate electrode of the amplifying transistor and serves as a well region of the amplifying transistor.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: May 10, 2011
    Assignee: Panasonic Corporation
    Inventor: Morikazu Tsuno
  • Publication number: 20110053360
    Abstract: Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, generating a plasma from a gas mixture including a reacting gas and a etching gas in the chamber, adjusting the ratio between the reacting gas and the etching gas in the supplied gas mixture and implanting ions from the plasma into the substrate. In another embodiment, the method includes providing a substrate into a processing chamber, supplying a gas mixture including reacting gas and a halogen containing reducing gas into the chamber, forming a plasma from the gas mixture, gradually increasing the ratio of the etching gas in the gas mixture, and implanting ions from the gas mixture into the substrate.
    Type: Application
    Filed: November 8, 2010
    Publication date: March 3, 2011
    Inventors: Peter Porshnev, Majeed A. Foad
  • Patent number: 7867884
    Abstract: A wafer fabrication method includes a first step of forming a plurality of first channel regions in a first region on a surface of a water, a second step of forming a plurality of second channel regions having an impurity concentration different from an impurity concentration of the first channel regions, a third step of forming a plurality of third channel regions in a third region on the surface of the water, and a fourth step of forming a plurality of fourth channel regions having an impurity concentration different from an impurity concentration of the third channel regions in a fourth region, wherein the first region and the second region are divided by a first line segment on the wafer, and the third and fourth regions are divided by a second line segment intersecting with the first line segment on the wafer.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: January 11, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Tomohiro Kamimura, Kou Sasaki, Tomoharu Inoue
  • Publication number: 20100330752
    Abstract: A one transistor DRAM device includes: a substrate with an insulating layer, a first semiconductor layer provided on the insulating layer and including a first source region and a first region which are in contact with the insulating layer and a first floating body between the first source region and the first drain region, a first gate pattern to cover the first floating body, a first interlayer dielectric to cover the first gate pattern, a second semiconductor layer provided on the first interlayer dielectric and including a second source region and a second drain region which are in contact with the first interlayer dielectric and a second floating body between the second source region and the second drain region, and a second gate pattern to cover the second floating body.
    Type: Application
    Filed: July 23, 2010
    Publication date: December 30, 2010
    Inventors: Jae-Hun Jeong, Ki-Nam Kim, Soon-Moon Jung, Jae-Hoon Jang
  • Patent number: 7855132
    Abstract: The present invention provides a method of manufacturing a bonded wafer. The method includes forming an oxygen ion implantation layer in an active layer wafer having a substrate resistivity of 1 to 100 m?cm by implanting oxygen ions in the active layer wafer, bonding a base wafer and the active layer wafer directly or through an insulating layer to form a bonded wafer, heat treating the bonded wafer to strengthen the bond and convert the oxygen ion implantation layer into a stop layer, grinding, polishing, and/or etching, from the active layer wafer surface side, the bonded wafer in which the bond has been strengthened to expose the stop layer on a surface of the bonded wafer, removing the stop layer, and subjecting the bonded wafer from which the stop layer has been removed to a heat treatment under a reducing atmosphere to diffuse an electrically conductive component comprised in the active layer wafer.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: December 21, 2010
    Assignee: Sumco Corporation
    Inventors: Akihiko Endo, Nobuyuki Morimoto
  • Publication number: 20100308376
    Abstract: A high-quality GaAs-type crystal thin film using an inexpensive Si wafer with good thermal release characteristics is achieved. Provided is a semiconductor wafer comprising an Si wafer; an inhibiting layer that is formed on the wafer and that inhibits crystal growth, the inhibiting layer including a covering region that covers a portion of the wafer and an open region that does not cover a portion of the wafer within the covering region; a Ge layer that is crystal-grown in the open region; and a functional layer that is crystal-grown on the Ge layer. The Ge layer may be formed by annealing with a temperature and duration that enables movement of crystal defects, and the annealing is repeated a plurality of times.
    Type: Application
    Filed: December 26, 2008
    Publication date: December 9, 2010
    Inventors: Tomoyuki Takada, Sadanori Yamanaka, Masahiko Hata, Taketsugu Yamamoto, Kazumi Wada
  • Patent number: 7820524
    Abstract: A manufacturing method of an SOI substrate which possesses a base substrate having low heat resistance and a very thin semiconductor layer having high planarity is demonstrated. The method includes: implanting hydrogen ions into a semiconductor substrate to form an ion implantation layer; bonding the semiconductor substrate and a base substrate such as a glass substrate, placing a bonding layer therebetween; heating the substrates bonded to each other to separate the semiconductor substrate from the base substrate, leaving a thin semiconductor layer over the base substrate; irradiating the surface of the thin semiconductor layer with laser light to improve the planarity and recover the crystallinity of the thin semiconductor layer; and thinning the thin semiconductor layer. This method allows the formation of an SOI substrate which has a single-crystalline semiconductor layer with a thickness of 100 nm or less over a base substrate.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: October 26, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Akihisa Shimomura, Tatsuya Mizoi, Eiji Higa, Yoji Nagano
  • Publication number: 20100264423
    Abstract: A method for fabricating semiconductor components includes the steps of providing a semiconductor substrate having a circuit side, a back side and integrated circuits and circuitry on the circuit side; thinning the substrate from the back side to a selected thickness; laser processing the back side of the thinned substrate to form at least one lasered feature on the back side; and dicing the substrate into a plurality of components having the lasered feature. The lasered feature can cover the entire back side or only selected areas of the back side, and can be configured to change electrical properties, mechanical properties or gettering properties of the substrate. A semiconductor component includes a thinned semiconductor substrate having a back side and a circuit side containing integrated circuits and associated circuitry. The semiconductor component also includes at least one lasered feature on the back side configured to provide selected electrical or physical characteristics for the substrate.
    Type: Application
    Filed: April 16, 2009
    Publication date: October 21, 2010
    Inventors: Alan G. Wood, Tim Corbett
  • Patent number: 7816225
    Abstract: Methods and apparatus provide for forming a semiconductor-on-insulator (SOI) structure, including subjecting a implantation surface of a donor semiconductor wafer to an ion implantation step to create a weakened slice in cross-section defining an exfoliation layer of the donor semiconductor wafer; and subjecting the donor semiconductor wafer to a spatial variation step, either before, during or after the ion implantation step, such that at least one parameter of the weakened slice varies spatially across the weakened slice in at least one of X-and Y-axial directions.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: October 19, 2010
    Assignee: Corning Incorporated
    Inventors: Sarko Cherekdjian, Jeffrey Scott Cites, James Gregory Couillard, Richard Orr Maschmeyer, Michael John Moore, Alex Usenko
  • Patent number: 7799662
    Abstract: After introducing oxygen into an N? type FZ wafer serving as an N? type first semiconductor layer, a P type second semiconductor layer and an anode are formed on a surface of the FZ wafer. The FZ wafer is irradiated with protons from the side of the anode, introducing crystal defects into the FZ wafer. By performing heat treatment to recover the crystal defects in the FZ wafer, the net doping concentration of a portion within the first semiconductor layer is made higher than the initial net doping concentration of the FZ wafer, and a desired broad buffer structure is formed. Accordingly, a semiconductor device with fast operation and low losses, and having soft switching characteristics, can be manufactured inexpensively using FZ bulk wafers, with good controllability and yields.
    Type: Grant
    Filed: May 14, 2008
    Date of Patent: September 21, 2010
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventor: Michio Nemoto
  • Publication number: 20100233869
    Abstract: A method of fabricating an epi-wafer includes providing a wafer including boron by cutting a single crystal silicon ingot, growing an insulating layer on one surface of the wafer, performing thermal treatment of the wafer, removing the insulating layer formed on one surface of the wafer, mirror-surface-grinding one surface of the wafer, and growing an epitaxial layer on one surface of the wafer and forming a high-density boron layer within the wafer that corresponds to the interface between the wafer and the epitaxial layer.
    Type: Application
    Filed: March 15, 2010
    Publication date: September 16, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Young-Soo PARK, Gi-Jung KIM, Won-Je PARK, Jae-Sik BAE
  • Patent number: 7795111
    Abstract: An effect of metal contamination caused in manufacturing an SOI substrate can is suppressed. A damaged region is formed by irradiating a semiconductor substrate with hydrogen ions, and then, a base substrate and the semiconductor substrate are bonded to each other. Heat treatment is performed thereon to cleave the semiconductor substrate, so that an SOI substrate is manufactured. A gettering site layer is formed of a semiconductor containing a Group 18 element such as Ar, over a semiconductor layer of the SOI substrate. Heat treatment is performed thereon to perform gettering of a metal element in the semiconductor layer with the gettering site layer. By removing the gettering site layer by etching, thinning of the semiconductor layer can be performed.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: September 14, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akihisa Shimomura, Hidekazu Miyairi, Yurika Sato
  • Publication number: 20100212738
    Abstract: The present invention relates to multicrystalline p-type silicon wafers with high lifetime. The silicon wafers contain 0.2-2.8 ppma boron and 0.06-2.8 ppma phosphorous and/or arsenic and have been subjected to phosphorous diffusion and phosphorous gettering at a temperature of above 925° C. The invention further relates to a method for production of such multicrystalline silicon wafers and to solar cells comprising such silicon wafers.
    Type: Application
    Filed: November 28, 2007
    Publication date: August 26, 2010
    Applicant: ELKEM SOLAR AS
    Inventors: Erik Enebakk, Kristian Peter, Bernd Raabe, Ragnar Tronstad
  • Publication number: 20100133548
    Abstract: The invention provides methods which can be applied during the epitaxial growth of two or more layers of semiconductor materials so that the qualities of successive layer are successively improved. In preferred embodiments, surface defects present in one epitaxial layer are capped with a masking material. A following layer is then grown so it extends laterally above the caps according to the known phenomena of epitaxial lateral overgrowth. The methods of the invention can be repeated by capping surface defects in the following layer and then epitaxially growing a second following layer according to ELO. The invention also includes semiconductor structures fabricated by these methods.
    Type: Application
    Filed: May 14, 2008
    Publication date: June 3, 2010
    Inventors: Chantal Arena, Subhash Mahajan, Ilsu Han
  • Publication number: 20100129997
    Abstract: An organic light emitting diode (OLED) display panel and a method of forming a polysilicon channel layer thereof are provided. In the method, firstly, a substrate having a polysilicon layer disposed thereon is provided. Then, a dopant atom not selected from the IIIA group and the VA group is doped inside the polysilicon layer to form a polysilicon channel layer.
    Type: Application
    Filed: January 27, 2010
    Publication date: May 27, 2010
    Applicant: AU OPTRONICS CORP.
    Inventors: Jiunn-Yi LIN, Ming-Yan Chen
  • Patent number: 7718515
    Abstract: The principal objects of the present invention are to provide structure of a semiconductor device capable of reducing a bowing of a wafer, and a method for fabricating the semiconductor device. The present invention is applied to a semiconductor device, which is fabricated with a semiconductor substrate having a silicon carbide (SiC) film. The method includes the steps of: forming the SiC film on a semiconductor wafer; discriminating a deformation condition of the semiconductor wafer; and forming grooves in the SiC film, the grooves having a shape determined in accordance with the deformation condition of the semiconductor wafer.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: May 18, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kazuhide Abe
  • Publication number: 20100112793
    Abstract: Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.
    Type: Application
    Filed: October 27, 2009
    Publication date: May 6, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Peter I. Porshnev, Matthew D. Scotney-Castle, Majeed A. Foad
  • Publication number: 20100093156
    Abstract: A method for producing a silicon wafer for epitaxial substrate which includes a first step of performing thermal oxidization on a silicon wafer containing boron atoms no less than 1E19 atoms/cm3, thereby forming a silicon oxide film on the surface of the silicon wafer, a second step of peeling off the silicon oxide film, and a third step of performing heat treatment on the silicon wafer in a hydrogen atmosphere.
    Type: Application
    Filed: September 16, 2009
    Publication date: April 15, 2010
    Inventor: Tatsuo FUJII
  • Publication number: 20100078767
    Abstract: Provided is a silicon wafer including: a first denuded zone formed with a predetermined depth from a top surface of the silicon wafer; and a bulk area formed between the first denuded zone and a backside of the silicon wafer, wherein the first denuded zone is formed with a depth ranging from approximately 20 um to approximately 80 um from the top surface, and wherein a concentration of oxygen in the bulk area is uniformly distributed within a variation of 10 % over the bulk area.
    Type: Application
    Filed: July 10, 2009
    Publication date: April 1, 2010
    Inventor: Jung-Goo PARK
  • Publication number: 20100047953
    Abstract: In the production of a wafer for backside illumination type solid imaging device having a plurality of pixels inclusive of a photoelectric conversion device and a charge transfer transistor formed at its front surface side and a light receiving surface at its back surface side, an active layer made of a given epitaxial film is formed on a silicon wafer made of a C-containing CZ crystal directly or through an insulating film, and then subjected to a heat treatment to form precipitates containing C and O as a gettering sink at a position just beneath the active layer.
    Type: Application
    Filed: August 20, 2009
    Publication date: February 25, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Kazunari KURITA, Shuichi OMOTE
  • Patent number: 7666761
    Abstract: In manufacturing a semiconductor device, the first gettering layer is formed on the backside of a wafer, and the second gettering layers are then formed on the backside and side surfaces of a chip, allowing these gettering layers to serve as trapping sites against metallic contamination that generated after backside grinding in assembly processes.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: February 23, 2010
    Assignee: Elpida Memory, Inc.
    Inventors: Kiyonori Oyu, Kensuke Okonogi, Hirotaka Kobayashi, Koji Hamada
  • Publication number: 20100029053
    Abstract: A method of manufacturing a semiconductor device for forming an n-type FET has forming an isolation insulating film on a surface of the semiconductor substrate consisting primarily of silicon, the isolation insulating film partitioning a device region of the semiconductor substrate; forming a gate insulating film on the device region of the semiconductor substrate; forming a gate electrode on the gate insulating film; amorphizing regions to be source/drain contact regions adjacent to the gate electrode, of the device region, by ion implanting of one of a carbon cluster ion, a carbon monomer ion and a molecular ion containing carbon into the regions to be the source/drain contact regions; forming an impurity-implanted layer to be the source/drain contact regions by ion implanting at least one of arsenic and phosphorus as an n-type impurity into the amorphized regions; and activating the carbon and the impurity in the impurity-implanted layer by heat treatment.
    Type: Application
    Filed: August 3, 2009
    Publication date: February 4, 2010
    Inventors: Hiroshi Itokawa, Ichiro Mizushima, Kiyotaka Miyano
  • Publication number: 20090325358
    Abstract: A structure and method of fabricating a semiconductor field-effect transistor (MOSFET) such as a strained Si n-MOSFET where dislocation or crystal defects spanning from source to drain is partially occupied by heavy p-type dopants. Preferably, the strained-layer n-MOSFET includes a Si, SiGe or SiGeC multi-layer structure having, in the region between source and drain, impurity atoms that preferentially occupy the dislocation sites so as to prevent shorting of source and drain via dopant diffusion along the dislocation. Advantageously, devices formed as a result of the invention are immune to dislocation-related failures, and therefore are more robust to processing and material variations. The invention thus relaxes the requirement for reducing the threading dislocation density in SiGe buffers, since the devices will be operable despite the presence of a finite number of dislocations.
    Type: Application
    Filed: August 11, 2009
    Publication date: December 31, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Steven J. Koester
  • Publication number: 20090294806
    Abstract: Performance of field effect transistors and other channel dependent devices formed on a monocrystalline substrate is improved by carrying out a high temperature anneal in a nitrogen releasing atmosphere while the substrate is coated by a sacrificial oxide coating containing easily diffusible atoms that can form negatively charged ions and can diffuse deep into the substrate. In one embodiment, the easily diffusible atoms comprise at least 5% by atomic concentration of chlorine atoms in the sacrificial oxide coating and the nitrogen releasing atmosphere includes NO. The high temperature anneal is carried out for less than 10 hours at a temperature less than 1100° C.
    Type: Application
    Filed: May 29, 2008
    Publication date: December 3, 2009
    Inventors: Zhong DONG, Ching-Hwa CHEN
  • Publication number: 20090289282
    Abstract: A solid state imaging device includes a transfer transistor for transferring signal charges generated by photoelectric conversion to a floating diffusion layer, a reset transistor for resetting a potential of the floating diffusion layer, and an amplifying transistor for outputting a signal corresponding to the potential of the floating diffusion layer. A low concentration impurity region having an impurity concentration lower than that of the first conductivity type semiconductor region is formed in part of a surface portion of the first conductivity type semiconductor region which is located below a gate electrode of the amplifying transistor and serves as a well region of the amplifying transistor.
    Type: Application
    Filed: March 20, 2009
    Publication date: November 26, 2009
    Inventor: Morikazu TSUNO
  • Publication number: 20090286376
    Abstract: Ni silicide is formed through simple steps. After forming a semiconductor film over a substrate, a Ni film is deposited over the semiconductor film while heating the substrate, thereby forming Ni suicide on the semiconductor film. Alternatively, after forming a semiconductor film over a substrate, a Ni film is deposited over the semiconductor film while heating the substrate up to 450° C. or higher, thereby forming Ni silicide on the semiconductor film. Alternatively, after forming a semiconductor film over a substrate, a Ni film is deposited with a thickness of 10 nm or more over the semiconductor film while heating the substrate to 450° C. or higher, thereby forming Ni silicide on the semiconductor film. Alternatively, after forming a semiconductor film over a substrate, and removing an oxide film on the semiconductor film, a Ni film is deposited over the semiconductor film while heating the substrate up to 450° C. or higher, thereby forming Ni silicide on the semiconductor film.
    Type: Application
    Filed: July 17, 2009
    Publication date: November 19, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Hajime TOKUNAGA
  • Publication number: 20090283143
    Abstract: A semiconductor component comprises a semiconductor substrate comprising a front surface, a back surface which is opposite thereto, and a surface normal which is perpendicular to the front and back surfaces, a first contact structure which is electrically conductive and is electrically connected to the front surface of the semiconductor substrate via at least one point-shaped front contact, and a second contact structure which is electrically conductive and is electrically connected to the back surface of the semiconductor substrate.
    Type: Application
    Filed: May 13, 2009
    Publication date: November 19, 2009
    Inventors: Andreas Krause, Bernd Bitnar, Holger Neuhaus, Frederick Bamberg
  • Publication number: 20090286367
    Abstract: A process is disclosed which incorporates implantation of a carbon cluster into a substrate to improve the characteristics of transistor junctions when the substrates are doped with Boron and Phosphorous in the manufacturing of PMOS transistor structures in integrated circuits. There are two processes which result from this novel approach: (1) diffusion control for USJ formation; and (2) high dose carbon implantation for stress engineering. Diffusion control for USJ formation is demonstrated in conjunction with a boron or shallow boron cluster implant of the source/drain structures in PMOS. More particularly, first, a cluster carbon ion, such as C16Hx+, is implanted into the source/drain region at approximately the same dose as the subsequent boron implant; followed by a shallow boron, boron cluster, phosphorous or phosphorous cluster ion implant to form the source/drain extensions, preferably using a borohydride cluster, such as B18Hx+ or B10Hx+.
    Type: Application
    Filed: July 24, 2009
    Publication date: November 19, 2009
    Inventors: Wade A. Krull, Thomas N. Horsky
  • Publication number: 20090286373
    Abstract: A method for fabricating a semiconductor device is presented. The method includes providing a substrate and forming a gate stack over the substrate. A first laser processing to form vacancy rich regions within the substrate on opposing sides of the gate stack is performed. The vacancy rich regions have a first depth from a surface of the substrate. A first implant causing end of range defect regions to be formed on opposing sides of the gate stack at a second depth from the surface of the substrate is also carried out, wherein the first depth is proximate to the second depth.
    Type: Application
    Filed: May 15, 2009
    Publication date: November 19, 2009
    Applicants: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD., NANYANG TECHNOLOGICAL UNIVERSITY, NATIONAL UNIVERSITY OF SINGAPORE
    Inventors: Dexter Xueming TAN, Benjamin COLOMBEAU, Clark Kuang Kian ONG, Sai Hooi YEONG, Chee Mang NG, Kin Leong PEY
  • Publication number: 20090286365
    Abstract: Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS transistor is to form a tensile stress layer over a NMOS transistor. A heavy ion implantation is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes thereby increasing device performance.
    Type: Application
    Filed: July 28, 2009
    Publication date: November 19, 2009
    Applicant: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Lee Wee Teo, Elgin Quek
  • Patent number: 7615471
    Abstract: The invention relates to a method for producing a tensioned layer on a substrate involving the following steps: producing a defect area in a layer adjacent to the layer to be tensioned, and; relaxing at least one layer adjacent to the layer to be tensioned. Additional layers can be epitaxially deposited. Layer structures formed in this manner are advantageously suited for components of all types.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: November 10, 2009
    Assignee: Forschungszentrum Julich GmbH
    Inventor: Siegfried Mantl
  • Publication number: 20090273052
    Abstract: A method of forming an integrated circuit structure includes providing a semiconductor substrate; and forming a first and a second MOS device. The first MOS device includes a first active region in the semiconductor substrate; and a first gate over the first active region. The second MOS device includes a second active region in the semiconductor substrate; and a second gate over the second active region. The method further include forming a dielectric region between the first and the second active regions, wherein the dielectric region has an inherent stress; and implanting the dielectric region to form a stress-released region in the dielectric region, wherein source and drain regions of the first and the second MOS devices are not implanted during the step of implanting.
    Type: Application
    Filed: July 18, 2008
    Publication date: November 5, 2009
    Inventors: Harry Chuang, Kong-Beng Thei, Mong-Song Liang
  • Publication number: 20090273010
    Abstract: A method for removing impurities from at least one semiconductor device layer during manufacturing of a semiconductor device is disclosed. The semiconductor device layer has a compound semiconductor material and/or germanium. Each heating process performed during the manufacturing of the semiconductor device after provision of the semiconductor device layer has a low thermal budget determined by temperatures equal to or lower than about 900° C. and time periods equal to or lower than about 5 minutes. In one aspect, the method includes providing a germanium gettering layer with a higher solubility for the impurities than the semiconductor device layer. The germanium gettering layer is provided at least partly in direct or indirect contact with the at least one semiconductor device layer, such that impurities can diffuse from the at least one semiconductor device layer to the germanium gettering layer.
    Type: Application
    Filed: May 1, 2009
    Publication date: November 5, 2009
    Applicants: Interuniversitair Microelektronica Centrum vzw (IMEC), Umicore
    Inventors: Eddy Simoen, Jan Vanhellemont
  • Patent number: 7611970
    Abstract: A water processing method for providing a gettering sink effect to a wafer having a plurality of streets which are formed in a lattice pattern on the front surface of a substrate and devices which are formed in a plurality of areas sectioned by the plurality of streets, comprising the steps of removing distortion produced on the rear surface of the substrate of the wafer whose rear surface of the substrate has been ground to a predetermined thickness; forming a gettering sink effect layer by applying a laser beam of a wavelength having permeability for the substrate of the wafer which has undergone the distortion removing step, with its focal point set to the inside of the substrate to form a deteriorated layer in the inside of the substrate; and dividing the wafer which has undergone the gettering sink effect layer forming step, into individual chips along the streets.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: November 3, 2009
    Assignee: Disco Corporation
    Inventor: Toshiyuki Sakai
  • Patent number: 7611972
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. A preferred embodiment comprises a method of forming an insulating material layer. The method includes forming a barrier layer and forming a rare earth element-containing material layer over the barrier layer.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: November 3, 2009
    Assignee: Qimonda North America Corp.
    Inventor: Shrinivas Govindarajan
  • Publication number: 20090203182
    Abstract: In a method of manufacturing a transistor and a method of manufacturing a semiconductor device using the same, the method may include forming a preliminary metal silicide pattern on a single-crystalline silicon substrate and on a polysilicon pattern, and partially etching the preliminary metal silicide pattern to form a first metal silicide pattern on the substrate and a second metal silicide pattern on the polysilicon pattern, the second metal silicide pattern having a line width the same as or smaller than that of the polysilicon pattern. The method may include the transistor having no metal silicide residue on the spacer. Accordingly, an operation failure due to the residue may be prevented or reduced.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 13, 2009
    Inventors: Jung-Deog Lee, Ki-Chul Kim
  • Publication number: 20090194836
    Abstract: An image sensor includes a semiconductor substrate including circuitry, an interlayer dielectric including metal lines arranged on the semiconductor substrate, crystalline photodiode patterns arranged on the interlayer dielectric such that the photodiode patterns are connected to the metal lines, hard mask patterns arranged on the respective photodiode patterns, a device-isolation trench interposed between the adjacent photodiode patterns, to isolate the photodiode patterns from each other, a barrier film implanted with impurity ions, arranged into the inner wall of the device-isolation trench, and a device-isolation insulating layer arranged over the interlayer dielectric including the photodiode pattern and the device-isolation trench.
    Type: Application
    Filed: December 27, 2008
    Publication date: August 6, 2009
    Inventor: Jong-Man Kim
  • Publication number: 20090195948
    Abstract: The invention relates to a method of making a starting substrate wafer for semiconductor engineering having electrical wafer through connections (140; 192). It comprises providing a wafer (110; 150) having a front side and a back side and having a base of low resistivity silicon and a layer of high resistivity material on the front side. On the wafer there are islands of low resistivity material in the layer of high resistivity material. The islands are in contact with the silicon base material. Trenches are etched from the back side of the wafer but not all the way through the wafer to provide insulating enclosures defining the wafer through connections (140; 192). The trenches are filled with insulating material. Then the front side of the wafer is grinded to expose the insulating material to create the wafer through connections.
    Type: Application
    Filed: January 31, 2007
    Publication date: August 6, 2009
    Inventors: Edvard Kalvesten, Tomas Bauer, Thorbjorn Ebefors
  • Publication number: 20090189159
    Abstract: Disclosed herein are devices, methods and systems for implementing gettering layers. Devices including gettering layers can be implemented such that a gettering layer doped with carbon, boron, fluorine or any other appropriate impurity is formed on a semiconductor substrate, a device layer is formed on the gettering layer, and a device region is formed in the device layer having a depth that maintains a distance in the device layer between the gettering layer and the device region.
    Type: Application
    Filed: January 28, 2008
    Publication date: July 30, 2009
    Applicant: ATMEL CORPORATION
    Inventors: Darwin Enicks, Mark Good, John Chaffee
  • Publication number: 20090186466
    Abstract: A method for removing defects from a semiconductor surface is disclosed. The surface of the semiconductor is first coated with a protective layer, which is later thinned to selectively reveal portions of the protruding defects. The defects are then removed by etching. Finally, also the protective layer is removed. According to the method, inadvertent thinning of the surface is prevented and removal of the defects is obtained.
    Type: Application
    Filed: March 26, 2009
    Publication date: July 23, 2009
    Applicant: HRL LABORATORIES, LLC
    Inventor: Peter D. BREWER
  • Publication number: 20090186469
    Abstract: There is proposed an apparatus for doping a material to be doped by generating plasma (ions) and accelerating it by a high voltage to form an ion current is proposed, which is particularly suitable for processing a substrate having a large area. The ion current is formed to have a linear sectional configuration, and doping is performed by moving a material to be doped in a direction substantially perpendicular to the longitudinal direction of a section of the ion current.
    Type: Application
    Filed: April 1, 2009
    Publication date: July 23, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei Yamazaki, Toshiji Hamatani, Koichiro Tanaka
  • Publication number: 20090176351
    Abstract: A method embodiment deposits a dielectric layer over a transistor and then implants a gettering agent into the dielectric layer. The insulating layer into which the gettering agent is implanted comprises a single continuous insulating layer and is the insulating layer that borders the next layer of metallization. After this dielectric layer is formed, standard contacts (tungsten) are formed through the insulating layer to the source, drain, gate, etc. of the transistor. Additionally, reactive ion etching of the contacts is performed. The reactive ion etching process can create mobile ions; however, the gettering agent traps the mobile ions and prevents the mobile ions from contaminating the transistor.
    Type: Application
    Filed: January 4, 2008
    Publication date: July 9, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: HUILONG ZHU, Tai-chi Su, Ying Li
  • Publication number: 20090176350
    Abstract: A method embodiment deposits a first dielectric layer over a transistor and then implants a gettering agent into the first dielectric layer. After this first dielectric layer is formed, the method forms a second (thicker) dielectric layer over the first dielectric layer. After this, the standard contacts are formed through the insulating layer to the source, drain, gate, etc. of the transistor. Additionally, reactive ion etching, chemical mechanical processing, and other back-end-of-line processing are performed. The back-end-of-line processes can introduce mobile ions into the dielectric over a transistor; however, the gettering agent traps the mobile ions and prevents the mobile ions from contaminating the transistor.
    Type: Application
    Filed: January 4, 2008
    Publication date: July 9, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: MICHAEL P. BELYANSKY, Brian J. Greene, Habib Hichri, Tai-Chi Su