Using Trench Refilling With Dielectric Materials (epo) Patents (Class 257/E21.546)
  • Publication number: 20120129302
    Abstract: Disclosed are process enhancements to fully integrate the processing of a photonics device into a CMOS manufacturing process flow. A CMOS wafer may be divided into different portions. One of the portions is for the CMOS devices and one or more other portions are for the photonics devices. The photonics devices include a ridged waveguide and a germanium photodetector. The germanium photodetector may utilize a seeded crystallization from melt process so there is more flexibility in the processing of the germanium photodetector.
    Type: Application
    Filed: November 22, 2010
    Publication date: May 24, 2012
    Applicant: International Business Machines Corporation
    Inventors: Solomon Assefa, William M.J. Green, Yurii A. Vlasov, Min Yang
  • Patent number: 8183635
    Abstract: A technique to be applied to a semiconductor device for achieving low power consumption by improving a shape at a boundary portion of a shallow trench and an SOI layer of an SOI substrate. A position (SOI edge) at which a main surface of a silicon substrate and a line extended along a side surface of an SOI layer are crossed is recessed away from a shallow-trench isolation more than a position (STI edge) at which a line extended along a sidewall of a shallow trench and a line extended along the main surface of the silicon substrate are crossed, and a corner of the silicon substrate at the STI edge has a curved surface.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: May 22, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Nobuyuki Sugii, Ryuta Tsuchiya, Shinichiro Kimura, Takashi Ishigaki, Yusuke Morita, Hiroyuki Yoshimoto
  • Publication number: 20120122297
    Abstract: A method of fabricating a nonvolatile memory device includes providing a substrate having active regions defined by a plurality of trenches, forming a first isolation layer on the substrate having the plurality of trenches, forming a sacrificial layer on the first isolation layer to fill the trenches, the sacrificial layer including a first region filling lower portions of the trenches and a second region filling portions other than the lower portions, removing the second region of the sacrificial layer, forming a second isolation layer on the first isolation layer and the first region of the sacrificial layer, forming air gaps in the trenches by removing the first region of the sacrificial layer, and removing a portion of the first isolation layer and a portion of the second isolation layer while maintaining the air gaps.
    Type: Application
    Filed: August 4, 2011
    Publication date: May 17, 2012
    Inventors: Jong-Hoon NA, Young-Woo Park, Dong-Hwa Kwak, Tae-Yong Kim, Jee-Hoon Han, Jang-Hyun You, Dong-Sik Lee, Su-Jin Park
  • Publication number: 20120122294
    Abstract: In one embodiment, a method of manufacturing a semiconductor device includes successively forming first and second films to be processed on a semiconductor substrate. The method further includes removing a predetermined region of the second film by etching, to form a slit part including sidewall parts and a bottom part, the sidewall parts including side surfaces of the second film, and the bottom part including an upper surface of the first film. The method further includes supplying oxidizing ions or nitriding ions contained in plasma, generated by a microwave, a radio-frequency wave, or electron cyclotron resonance, to the sidewall parts and the bottom part of the slit part by applying a predetermined voltage to the semiconductor substrate, thereby performing anisotropic oxidation or anisotropic nitridation of the sidewall parts and the bottom part of the slit part.
    Type: Application
    Filed: December 15, 2011
    Publication date: May 17, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Isao Kamioka, Junichi Shiozawa, Ryu Kato, Yoshio Ozawa
  • Patent number: 8178418
    Abstract: A method for fabricating intra-device isolation structure is provided, including providing a semiconductor substrate with a mask layer formed thereover. A plurality of first trenches is formed in the semiconductor substrate and the mask layer. A first insulating layer is formed in the first trenches. The mask layer is partially removed to expose a portion of the first insulating layer in the first trenches. A protection spacer is formed on a sidewall surface of the portion of the first insulating layer exposed by the mask layer to partially expose a portion of the mask layer between the first insulating layer. An etching process is performed to the mask layer exposed by the protection spacer and the semiconductor substrate thereunder, and a plurality of second trenches is formed in the semiconductor substrate and the mask layer. A second insulating layer is formed in the second trenches.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: May 15, 2012
    Assignee: Nanya Technology Corporation
    Inventors: Jar-Ming Ho, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20120112288
    Abstract: The present invention provides an isolation structure for a semiconductor substrate and a method for manufacturing the same, as well as a semiconductor device having the structure. The present invention relates to the field of semiconductor manufacture. The isolation structure comprises: a trench embedded in a semiconductor substrate; an oxide layer covering the bottom and sidewalls of the trench, and isolation material in the trench and on the oxide layer, wherein a portion of the oxide layer on an upper portion of the sidewalls of the trench comprises lanthanum-rich oxide. By the trench isolation structure according to the present invention, metal lanthanum in the lanthanum-rich oxide can diffuse into corners of the oxide layer of the gate stack, thus alleviating the impact of the narrow channel effect and making the threshold voltage adjustable.
    Type: Application
    Filed: March 2, 2011
    Publication date: May 10, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Zhijiong Luo, Haizhou Yin, Huilong Zhu, Huicai Zhong
  • Publication number: 20120112291
    Abstract: A semiconductor apparatus according to the present invention has a P-type well and an N-type well, with impurity concentration of a high impurity concentration region deeper than the P-type well and the N-type well being from 1×1017 cm?3 to 1×1019 cm?3, and the apparatus comprises a first channel separating section for separating elements, and a depth of the first channel separating section is equal to or deeper than the high impurity concentration region.
    Type: Application
    Filed: November 3, 2011
    Publication date: May 10, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Masahiko Yanagi
  • Patent number: 8173517
    Abstract: The present invention relates to methods for forming microelectronic structures in a semiconductor substrate. The method includes selectively removing dielectric material to expose a portion of an oxide overlying a semiconductor substrate. Insulating material may be formed substantially conformably over the oxide and remaining portions of the dielectric material. Spacers may be formed from the insulating material. An isolation trench etch follows the spacer etch. An optional thermal oxidation of the surfaces in the isolation trench may be performed, which may optionally be followed by doping of the bottom of the isolation trench to further isolate neighboring active regions on either side of the isolation trench. A conformal material may be formed substantially conformably over the spacer, over the remaining portions of the dielectric material, and substantially filling the isolation trench. Planarization of the conformal material may follow.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: May 8, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, David L. Chapek, Randhir P. S. Thakur
  • Patent number: 8173515
    Abstract: An oxide film and a liner film are formed on an inner wall of a trench in a semiconductor substrate. After filling an SOD film in the trench, a heat treatment is carried out. Part of the liner film in contact with the SOD film is removed to expose part of the SOD film. A heat treatment is carried out on the SOD film. An isolating region is formed by filling an insulating film in the trench.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: May 8, 2012
    Assignee: Elpida Memory, Inc.
    Inventors: Toshiya Nakamori, Hiroshi Kujirai
  • Publication number: 20120104500
    Abstract: A method of forming a semiconductor device includes forming a spacer layer over a plurality of transistor gate structures, the transistor gate structures being formed over both active and shallow trench isolation (STI) regions of a substrate. The spacer layer is subjected to a directional etch so as to form sidewall spacers adjacent the plurality of transistor gate structures, and a horizontal fill portion of the spacer layer remains in one more recesses present in the STI regions so as to substantially planarize the STI region prior to subsequent material deposition thereon.
    Type: Application
    Filed: October 28, 2010
    Publication date: May 3, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ming Cai, Xi Li, Frank D. Tamweber, JR.
  • Publication number: 20120104493
    Abstract: An integrated circuit containing an extended drain MOS transistor with deep semiconductor (SC) RESURF trenches in the drift region, in which each deep SC RESURF trench has a semiconductor RESURF layer at a sidewall of the trench contacting the drift region. The semiconductor RESURF layer has an opposite conductivity type from the drift region. The deep SC RESURF trenches have depth:width ratios of at least 5:1, and do not extend through a bottom surface of the drift region. A process of forming an integrated circuit with deep SC RESURF trenches in the drift region by etching undersized trenches and counterdoping the sidewall region to form the semiconductor RESURF layer. A process of forming an integrated circuit with deep SC RESURF trenches in the drift region by etching trenches and growing an epitaxial layer on the sidewall region to form the semiconductor RESURF layer.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 3, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Marie Denison, Sameer Pendhakar
  • Publication number: 20120104540
    Abstract: An isolation trench in a substrate of a semiconductor device includes a first shallow portion, a transition region, and a second deeper portion. The isolation trench contains a dielectric filler. The isolation trench is formed by first forming a first shallow portion of the isolation trench, forming polysilicon sidewalls on the first shallow portion, and then etching the second deeper portion.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 3, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Manoj Mehrotra
  • Patent number: 8169048
    Abstract: An isolation structure in a memory device and a method for fabricating the isolation structure. In the method, a first trench is formed in a cell region of a semiconductor substrate and a second trench in a peripheral region of the semiconductor substrate. A liner layer comprising a silicon nitride layer is formed on the first and second trenches. A spin on dielectric (SOD) layer comprising polysilazane is formed on the liner layer so as to fill the first and second trenches. A portion of the SOD layer filling the second trench is removed. A portion of the silicon nitride layer, which is disposed on the second trench and is exposed after the removing of the portion of the SOD layer, is oxidized using oxygen plasma and heat generated from the plasma. A high density plasma (HDP) oxide layer is formed to fill the second trench.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: May 1, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Eun
  • Publication number: 20120098088
    Abstract: A semiconductor device includes a substrate and an isolation structure, which includes a trench in the substrate, a lower filling layer at the bottom of the trench, and an upper filling layer on the lower filling layer, wherein the lower filling layer is denser than the upper filling layer, and the lower filling layer contains chlorine. The method for forming an isolation structure includes the steps of forming a trench in a substrate wherein the trench comprises side surfaces and a bottom surface, forming a nitride liner on the side surfaces of the trench, growing an epitaxial silicon layer from to the bottom surface of the trench, oxidizing the epitaxial silicon layer to form a lower filling layer in the lower portion of the trench, and filling a portion of the trench above the lower filling layer with dielectric material.
    Type: Application
    Filed: October 21, 2010
    Publication date: April 26, 2012
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: Jyun Huan CHEN, Yi Jung CHEN
  • Publication number: 20120098068
    Abstract: A method and structure comprise a field effect transistor structure that includes a first rectangular fin structure and a second rectangular fin structure, both positioned on a substrate. The sides of the second rectangular fin structure are parallel to the sides of the first rectangular fin structure. Further, a trench insulator is positioned on the substrate and positioned between a side of the first rectangular fin structure and a side of the second rectangular fin structure. A gate conductor is positioned on the trench insulator, positioned over the sides and the top of the first rectangular fin structure, and positioned over the sides and the top of the second rectangular fin structure. The gate conductor runs perpendicular to the sides of the first rectangular fin structure and the sides of the second rectangular fin structure. Also, a gate insulator is positioned between the gate conductor and the first rectangular fin structure and between the gate conductor and the second rectangular fin structure.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: BRENT A. ANDERSON, Edward J. Nowak, Jed H. Rankin
  • Patent number: 8163625
    Abstract: The disclosure relates to integrated circuit fabrication, and more particularly to an electronic device with an isolation structure having almost no divot. An exemplary method for fabricating an isolation structure, comprising: forming a pad oxide layer over a top surface of a substrate; forming an opening in the pad oxide layer, exposing a portion of the substrate; etching the exposed portion of the substrate, forming a trench in the substrate; filling the trench with an insulator; exposing a surface of the pad oxide layer and a surface of the insulator to a vapor mixture including at least an NH3 and a fluorine-containing compound; and heating the substrate at a temperature between 100° C. to 200° C.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: April 24, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yih-Ann Lin, Hao-Ming Lien, Ryan Chia-Jen Chen, Jr Jung Lin, Yu Chao Lin, Chih-Han Lin
  • Patent number: 8164155
    Abstract: A method for manufacturing a semiconductor device includes forming an N-well and a P-well formed in a semiconductor substrate. An isolation layer may be formed in the semiconductor substrate. At least one dummy active pattern may be formed in a boundary area between the N-well and the P-well. A salicide blocking layer may be over the upper surface of the at least one dummy active pattern. A non-salicide region may be formed over the upper surface of the at least one dummy active pattern by carrying out a salicide process over the semiconductor substrate provided with the salicide blocking layer.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: April 24, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Myung-Il Kang
  • Publication number: 20120094468
    Abstract: Aspects of the disclosure pertain to methods of depositing silicon oxide layers on substrates. In embodiments, silicon oxide layers are deposited by flowing a silicon-containing precursor having a Si—O bond, an oxygen-containing precursor and a second silicon-containing precursor, having both a Si—C bond and a Si—N bond, into a semiconductor processing chamber to form a conformal liner layer. Upon completion of the liner layer, a gap fill layer is formed by flowing a silicon-containing precursor having a Si—O bond, an oxygen-containing precursor into the semiconductor processing chamber. The presence of the conformal liner layer improves the ability of the gap fill layer to grow more smoothly, fill trenches and produce a reduced quantity and/or size of voids within the silicon oxide filler material.
    Type: Application
    Filed: July 14, 2011
    Publication date: April 19, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Sidharth Bhatia, Hiroshi Hamana, Paul Edward Gee, Shankar Venkataraman
  • Publication number: 20120091554
    Abstract: A method for manufacturing a semiconductor device comprises: etching a semiconductor substrate to form a trench that defines an active region of a line type; burying an insulating film in the trench; and removing a portion of the active region of a line type to form a separated active region. The method improves the process for forming an active region using a Spacer patterning Technology (SPT), thereby preventing characteristic defects of the device and improving the operating characteristic.
    Type: Application
    Filed: December 30, 2010
    Publication date: April 19, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sang Soo LEE
  • Publication number: 20120086083
    Abstract: Devices having gate-to-gate isolation structures and methods of manufacture are provided. The method includes forming a plurality of isolation structures in a pad film and an underlying substrate. The method further includes protecting at least one of the plurality of isolation structures in order to preserve its height. The method further includes removing portions of unprotected isolation structures such that the unprotected isolation structures are of a different height than the at least one of the plurality isolation structures. The method further includes removing the pad film and protection over the at least one of the plurality isolation structures, wherein the at least one of the plurality of isolation structures extends above the underlying substrate. The method further includes forming at least one gate electrode on the substrate, over the remaining isolation structures and abutting sides of the at least one of the plurality of isolation structures.
    Type: Application
    Filed: October 12, 2010
    Publication date: April 12, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. ANDERSON, Edward J. NOWAK, Jed H. RANKIN
  • Publication number: 20120086078
    Abstract: Devices having gate-to-gate isolation structures and methods of manufacture are provided. The method includes forming a plurality of isolation structures in pad films and an underlying substrate. The method further includes forming a plurality of fins including the isolation structures and a second plurality of fins including the two pad films and a portion of the underlying substrate, each of which are separated by a trench. The method further includes removing portions of the second plurality of fins resulting in a height lower than a height of the plurality of fins including the isolation structures. The method further includes forming gate electrodes within each trench, burying the second plurality of fins and abutting sides of the plurality of fins including the isolation structures. The plurality of fins including the isolation structures electrically and physically isolate adjacent gate electrode of the gate electrodes.
    Type: Application
    Filed: October 12, 2010
    Publication date: April 12, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. ANDERSON, Edward J. NOWAK, Jed H. RANKIN
  • Publication number: 20120086537
    Abstract: A method of making a planar coil is disclosed in the present invention. First, a substrate having a trench is provided. Then, a barrier and a seed layer are formed on the substrate in sequence. An isolative layer is used for guiding a conductive material to flow into a lower portion of the trench such that accumulation of the conductive material at opening of the trench is prevented before the lower portion of the trench is completely filled up, thereby avoiding gap formation in the trench.
    Type: Application
    Filed: October 7, 2010
    Publication date: April 12, 2012
    Applicant: TOUCH MICRO-SYSTEM TECHNOLOGY CORP.
    Inventors: Hung Yi LIN, Ming Fa Chen
  • Publication number: 20120088349
    Abstract: There is provided fin methods for fabricating fin structures. More specifically, fin structures are formed in a substrate. The fin structures may include two fins separated by a channel, wherein the fins may be employed as fins of a field effect transistor. The fin structures are formed below the upper surface of the substrate, and may be formed without utilizing a photolithographic mask to etch the fins.
    Type: Application
    Filed: December 13, 2011
    Publication date: April 12, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Gordon Haller
  • Publication number: 20120086069
    Abstract: In one embodiment, a nonvolatile semiconductor memory device includes a substrate; a tunnel insulating film on the substrate; a charge storage layer on the tunnel insulating film; a block insulating film on the charge storage layer; a first element isolation insulating film in an element isolation trench in the substrate, having a bottom surface lower than an interface between the substrate and the tunnel insulating film, and having a top surface lower than an interface between the charge storage layer and the block insulating film; a second element isolation insulating film on the first element isolation insulating film, protruding to a top surface of the block insulating film, in contact with a side surface of the block insulating film, and having a higher Si concentration than the block insulating film; and a control gate electrode on the block insulating film and on the second element isolation insulating film.
    Type: Application
    Filed: September 13, 2011
    Publication date: April 12, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tetsuya Kai, Yoshio Ozawa
  • Patent number: 8153502
    Abstract: Methods of filling cavities or trenches. More specifically, methods of filling a cavity or trench in a semiconductor layer are provided. The methods include depositing a first dielectric layer into the trench by employing a conformal deposition process. Next, the first dielectric layer is etched to create a recess in the trench within the first dielectric layer. The recesses are then filled with a second dielectric layer by employing a high density plasma deposition process. The techniques may be particularly useful in filling cavities and trenches having narrow widths and/or high aspect ratios.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: April 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Ronald Weimer, Richard Stocks, Chris Hill
  • Publication number: 20120083094
    Abstract: Integrated circuits with guard rings are provided. Integrated circuits may include internal circuitry that is sensitive to external noise sources. A guard ring may surround the functional circuitry to isolate the circuitry from the noise sources. The guard ring may include first, second, and third regions. The first and third regions may include p-wells. The second region may include an n-well. Stripes of diffusion regions may be formed at the surface of a substrate in the three regions. Areas in the guard ring that are not occupied by the diffusion regions are occupied by shallow trench isolation (STI) structures. Stripes of dummy structures may be formed over respective STI structures and may not overlap the diffusion regions. The diffusion regions in the first and third regions may be biased to a ground voltage. The diffusion regions in the second section may be biased to a positive power supply voltage.
    Type: Application
    Filed: December 9, 2011
    Publication date: April 5, 2012
    Inventors: Bradley Jensen, Charles Y. Chu
  • Publication number: 20120083096
    Abstract: Embodiments of the present invention are directed to provide a semiconductor device including a semiconductor chip formed of a conductive material, a connector terminal around the semiconductor chip, which is formed of a same material for forming the semiconductor chip, an insulating member for electrically insulating the semiconductor chip from the connector terminal, and a first connection member for electrically coupling the semiconductor chip with the connector terminal Simplified step of manufacturing the connector terminal may further simplify the steps of manufacturing the semiconductor device.
    Type: Application
    Filed: December 12, 2011
    Publication date: April 5, 2012
    Inventors: Junji TANAKA, Koji TAYA, Masahiko HARAYAMA
  • Publication number: 20120083095
    Abstract: The disclosure relates to integrated circuit fabrication, and more particularly to a method for fabricating a semiconductor device. An exemplary method for fabricating the semiconductor device comprises providing a substrate; forming pad oxide layers over a frontside and a backside of the substrate; forming hardmask layers over the pad oxide layers on the frontside and the backside of the substrate; and thinning the hardmask layer over the pad oxide layer on the frontside of the substrate.
    Type: Application
    Filed: December 12, 2011
    Publication date: April 5, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Han-Guan CHEW, Ming ZHU, Lee-Wee TEO, Harry-Hak-Lay CHUANG
  • Patent number: 8148784
    Abstract: A semiconductor device comprising a trench device isolation layer and a method for fabricating the semiconductor device are disclosed. The method comprises forming a plurality of first trenches on a first region of a semiconductor substrate, filling the first trenches with a first insulation material to form first device isolation layers, forming a plurality of second trenches on a second region of the semiconductor substrate, and filling the second trenches with a second insulation material different from the first insulation material to form second device isolation layers, wherein the first trenches and the second trenches are formed using different respective processes.
    Type: Grant
    Filed: May 20, 2009
    Date of Patent: April 3, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Wook-Hyoung Lee
  • Publication number: 20120077327
    Abstract: A method of forming a shallow trench isolation structure such that the shoulders of the wall formations on either side of the trench are rounded, whilst the walls and floor of the trench as well as the top surface of the formations on either side of the trench remain flat. This is achieved by anchoring the walls and floors with a partial gap fill, which may be achieved either by fully filling the gap and then reducing the level to below that of the formations on either side a the trench by polishing and etching steps, or by not completely filling the trench in the first place. The tops of the formations on either side of the trench meanwhile are protected by an oxide layer, which is pared back from the edge of the trench, for example by means of an isotropic etching process.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 29, 2012
    Applicant: International Business Machines Corporation
    Inventors: Nicolas Degors, Erwan Dornel
  • Publication number: 20120077328
    Abstract: A nonvolatile semiconductor memory device includes a semiconductor substrate, a plurality of first element isolation insulating films formed on a surface of the semiconductor substrate corresponding to a first cell array region into a band shape, a plurality of second element isolation insulating films formed on a surface of the semiconductor substrate corresponding to a second cell array region into a band shape. Each first element isolation insulating film has a level from a surface of the semiconductor substrate, the first charge storage layer has a level from the surface of the semiconductor substrate, and each second element isolation insulating film has a level from the surface of the semiconductor substrate, the level of each first element isolation insulating film being lower than the level of the first charge storage layer and higher than the level of each second element isolation insulating film.
    Type: Application
    Filed: December 1, 2011
    Publication date: March 29, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hiroaki Hazama
  • Publication number: 20120074498
    Abstract: A method of fabricating a semiconductor device includes providing a substrate having a first surface, forming an isolation structure disposed partly in the substrate and having an second surface higher than the first surface by a step height, removing a portion of the isolation structure to form a recess therein having a bottom surface disposed below the first surface, and forming a contact engaging the gate structure over the recess. A different aspect involves an apparatus that includes a substrate having a first surface, an isolation structure disposed partly in the substrate and having a second surface higher than the first surface by a step height, a recess extending downwardly from the second surface, the recess having a bottom surface disposed below the first surface, a gate structure, and a contact engaging the gate structure over the recess.
    Type: Application
    Filed: September 27, 2010
    Publication date: March 29, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Harry Hak-Lay Chuang, Chih-Yang Yeh, Bao-Ru Young, Yuh-Jier Mii
  • Publication number: 20120069669
    Abstract: A nonvolatile semiconductor storage device is disclosed. The device includes a cell group having a first memory cell and a second memory cell located first directionally adjacent to the first memory cell, and a programming circuit. The first memory cell is used for data retention and the second memory cell is used for adjustment of a threshold voltage of the first memory cell. The programming circuit is configured to program the first memory cell by applying voltage to the second memory cell to control the threshold voltage of the first memory cell to be higher than a first threshold voltage.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 22, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Wataru SAKAMOTO, Fumitaka Arai
  • Publication number: 20120064722
    Abstract: The present invention provides an etching solution less affected by trench structures and also provides an isolation structure-formation process employing the solution. The etching solution contains hydrofluoric acid and an organic solvent. The organic solvent has a ?H value defined by Hansen solubility parameters in the range of 4 to 12 inclusive and the saturation solubility thereof in water is 5 wt % or more at 20° C. This solution can be adopted instead of known etching solutions used in conventional production processes of semiconductor elements.
    Type: Application
    Filed: May 24, 2010
    Publication date: March 15, 2012
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventor: Issei Sakurai
  • Patent number: 8133796
    Abstract: A method for fabricating shallow trench isolation structures is provided. A patterned pad layer and a patterned mask layer are sequentially formed on a substrate, wherein the substrate includes a memory region and a periphery region. By using the patterned mask layer as a mask, the substrate is partially removed to form a plurality of trenches. A first liner layer is formed on the substrate to cover surfaces of the patterned mask layer, the patterned pad layer and the trenches. After removing the first liner layer in the periphery region, a pull-back process is performed on the patterned mask layer, and a pull-back amount of the patterned mask layer in the periphery region is larger than a pull-back amount of the patterned mask layer in the memory region. An insulating layer is formed in the trenches to form a plurality of shallow trench isolation structures.
    Type: Grant
    Filed: March 6, 2011
    Date of Patent: March 13, 2012
    Assignee: Winbond Electronics Corp.
    Inventors: Chih-Jung Ni, Chia-Hung Lu
  • Publication number: 20120058620
    Abstract: A method for manufacturing a semiconductor device comprises performing an exposing and developing process using an exposure mask including shading patterns and assistant patterns arranged in parallel to the shading patterns to prevent a scum phenomenon generated when a main pattern is formed in a cell region over a semiconductor substrate, thereby improving characteristics, reliability and yield of the semiconductor device. As a result, the method enables high-integration of the semiconductor device.
    Type: Application
    Filed: November 15, 2011
    Publication date: March 8, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventors: Joo Kyoung SONG, Hyoung Soon Yune
  • Publication number: 20120056244
    Abstract: A method includes forming a first epitaxial layer over a semiconductor substrate and etching the first epitaxial layer to form multiple separated first epitaxial regions. The method also includes forming a second epitaxial layer over the etched first epitaxial layer. Each epitaxial layer includes at least one Group III-nitride, and the epitaxial layers collectively form a buffer. The method further includes forming a device layer over the buffer and fabricating a semiconductor device using the device layer. The second epitaxial layer could include second epitaxial regions substantially only on the first epitaxial regions. The second epitaxial layer could also cover the first epitaxial regions and the substrate, and the second epitaxial layer may or may not be etched. The device layer could be formed during the same operation used to form the second epitaxial layer.
    Type: Application
    Filed: September 2, 2010
    Publication date: March 8, 2012
    Applicant: National Semiconductor Corporation
    Inventors: Sandeep R. Bahl, Jamal Ramdani
  • Publication number: 20120056259
    Abstract: A memory cell including a substrate, a stacked gate structure and a first isolation structure is provided. The substrate has a first doped region, a second doped and a channel region located between the first doped region and the second doped region. The stacked gate structure is disposed on the channel and at least includes a charge trapping layer and a gate from bottom to top. The first isolation structure is disposed in the substrate and is connected to the first doped region and extends downwards from the first doped region for a predetermined length, and a bottom of the first isolation structure is lower than a bottom of the first doped region.
    Type: Application
    Filed: September 8, 2010
    Publication date: March 8, 2012
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Po-Chou Chen, Yao-Wen Chang, I-Chen Yang
  • Patent number: 8129253
    Abstract: Disclosed are methods for providing wafer parasitic current control to a semiconductor wafer (1500) having a substrate (1520), at least one active layer (1565) and a surface layer (1510), and electrical contacts (1515) formed on said surface layer (1510). Current control can be achieved with the formation of trenches (1525) around electrical contacts, where electrical contacts and associated layers define an electronic device. Insulating implants (1530) can be placed into trenches (1525) and/or sacrificial layers (1540) can be formed between electronic contacts (1515). Trenches control current by promoting current flow within active (e.g., conductive) regions (1560) and impeding current flow through inactive (e.g., nonconductive) regions (1550). Methods of and systems for wafer level burn-in (WLBI) of semiconductor devices are also disclosed. Current control at the wafer level is important when using WLBI methods and systems.
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: March 6, 2012
    Assignee: Finisar Corporation
    Inventors: Michael J. Haji-Sheikh, James R. Biard, James K. Guenter, Bobby M. Hawkins
  • Publication number: 20120049258
    Abstract: According to one embodiment, a semiconductor substrate includes a cell region and a peripheral circuit region, a first dielectric film is formed on the semiconductor substrate in the cell region and the peripheral circuit region, a first conductive film is formed on the first dielectric film in the cell region and the peripheral circuit region, a first inter-conductive-film dielectric film is formed on the first conductive film in the cell region, a second inter-conductive-film dielectric film is formed on the first conductive film in the peripheral circuit region and a film thickness thereof is larger than the first inter-conductive-film dielectric film, and a second conductive film is formed on the first inter-conductive-film dielectric film in the cell region and the second inter-conductive-film dielectric film in the peripheral circuit region.
    Type: Application
    Filed: March 16, 2011
    Publication date: March 1, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Koji NAKAHARA, Kazuhiro Matsuo, Masayuki Tanaka
  • Publication number: 20120049318
    Abstract: To provide, in a semiconductor device formed on an SOI substrate and having a semiconductor layer of the SOI substrate surrounded, at the periphery of the element region thereof, with element isolation, a technology capable of preventing reliability deterioration attributed to the element isolation. Appearance of a hollow, which is formed upon filling of a deep trench with an insulating film, from the upper surface of the insulating film can be prevented by setting the trench width of the upper portion of the deep trench configuring trench isolation at less than 1.2 ?m. Reduction in the breakdown voltage between adjacent element regions which may presumably occur due to a decrease in the trench width of the upper portion of the deep trench can be prevented by forming, on the upper portion of the deep trench, an LOCOS insulating film coupled to the insulating film filled in the deep trench.
    Type: Application
    Filed: August 11, 2011
    Publication date: March 1, 2012
    Inventors: Tatsuya Kawamata, Atsushi Tachigami, Kazuya Horie, Tatsuya Shiromoto, Tetsuya Nitta, Hironori Shimizu
  • Publication number: 20120045882
    Abstract: A semiconductor device manufacturing method includes: removing an insulating film on a semiconductor substrate by etching and subsequently oxidizing a surface of the semiconductor substrate by using a liquid oxidation agent without exposing this surface to an atmosphere, thereby forming a first insulating film containing an oxide of a constituent element of the semiconductor substrate on the surface of the semiconductor substrate; forming a second insulating film containing an aluminum oxide on the first insulating film; forming a third insulating film containing a rare earth oxide on the second insulating film; forming a high-k insulating film on the third insulating film; introducing nitrogen into the high-k insulating film to thereby make it a fourth insulating film; and conducting heat treatment to change the first through third insulating films into a insulating film made of a mixture containing aluminum, a rare earth element, the constituent element of the semiconductor substrate, and oxygen.
    Type: Application
    Filed: October 27, 2011
    Publication date: February 23, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Seiji Inumiya, Tomonori Aoyama
  • Publication number: 20120043639
    Abstract: A fabricating method and structure of a submount are provided. The submount includes a semiconductor substrate, a first electrode, a second electrode and a first insulating adhesive member. The fabricating method of the submount includes the following steps. A semiconductor substrate is provided. An isolating groove is formed on a first surface of the semiconductor substrate, thereby defining a first region and a second region. A first electrode is formed on the first surface in the first region and a second electrode is formed on the first surface in the second region. A first insulating adhesive member is filled in the isolating groove. The semiconductor substrate is thinned from the second surface so as to expose the first insulating adhesive member from the second surface, thereby insulating the first region of the semiconductor substrate from the second region of the semiconductor substrate.
    Type: Application
    Filed: August 19, 2010
    Publication date: February 23, 2012
    Applicant: MOS Art Pack Corporation
    Inventor: Taung-Yu LI
  • Patent number: 8119489
    Abstract: A method of fabricating an isolation structure and the structure thereof is provided. The method is compatible with the embedded memory process and provides the isolation structure with a poly cap thereon to protect the top corners of the isolation structure, without using an extra photomask.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: February 21, 2012
    Assignee: United Microelectronics Corp.
    Inventor: Ping-Chia Shih
  • Patent number: 8119485
    Abstract: Disclosed herein is a fabrication method of a semiconductor device to order to increase an operation liability of the semiconductor device. A method for fabricating a semiconductor device comprises forming a recess in a semiconductor substrate, forming a word line in a lower part of the recess, oxidizing a top portion of the word line, and depositing an insulating material in a remained part of the recess.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: February 21, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Se hyun Kim
  • Publication number: 20120038024
    Abstract: A low harmonic radio-frequency (RF) switch in a silicon-on-insulator (SOI) substrate and methods of manufacture. A method includes forming at least one trench through an insulator layer. The at least one trench is adjacent a device formed in an active region on the insulator layer. The method also includes forming at least one cavity in a substrate under the insulator layer and extending laterally from the at least one trench to underneath the device.
    Type: Application
    Filed: August 10, 2010
    Publication date: February 16, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alan B. BOTULA, Dinh DANG, James S. DUNN, Alvin J. JOSEPH, Peter J. LINDGREN
  • Publication number: 20120038030
    Abstract: A method is described for filling cavities in wafers, the cavities being open to a predetermined surface of the wafer, including the following steps: applying a lacquer-like filling material to the predetermined surface of the wafer; heating the wafer at a first temperature; driving out gas bubbles enclosed in the filling material by heating the wafer under vacuum at a second temperature which is equal to or higher than the first temperature; and curing the filling material by heating the wafer at a third temperature which is higher than the second temperature. Furthermore, also described is a blind hole filled using such a method and general 3D cavities as well as a wafer having insulation trenches of a silicon via filled using such a method.
    Type: Application
    Filed: August 4, 2011
    Publication date: February 16, 2012
    Inventors: Jens Frey, Heribert Weber, Eckhard Graf, Roman Schlosser
  • Patent number: 8114744
    Abstract: A method of fabricating an integrated circuit (IC) including a plurality of MOS transistors and ICs therefrom include providing a substrate having a silicon including surface, and forming a plurality of dielectric filled trench isolation regions in the substrate, wherein the silicon including surface forms trench isolation active area edges along its periphery with the trench isolation regions. A first silicon including layer is deposited, wherein the first silicon including extends from a surface of the trench isolation regions over the trench isolation active area edges to the silicon including surface. The first silicon including layer is completely oxidized to convert the first silicon layer to a silicon oxide layer, wherein the silicon oxide layer provides at least a portion of a gate dielectric for at least one of the plurality of MOS transistors.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: February 14, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Amitava Chatterjee, Seetharaman Sridhar, Xiaoju Wu, Vladimir F. Drobny
  • Patent number: 8115254
    Abstract: A stack pad layers including a first pad oxide layer, a pad nitride layer, and a second pad oxide layer are formed on a semiconductor-on-insulator (SOI) substrate. A deep trench extending below a top surface or a bottom surface of a buried insulator layer of the SOI substrate and enclosing at least one top semiconductor region is formed by lithographic methods and etching. A stress-generating insulator material is deposited in the deep trench and recessed below a top surface of the SOI substrate to form a stress-generating buried insulator plug in the deep trench. A silicon oxide material is deposited in the deep trench, planarized, and recessed. The stack of pad layer is removed to expose substantially coplanar top surfaces of the top semiconductor layer and of silicon oxide plugs. The stress-generating buried insulator plug encloses, and generates a stress to, the at least one top semiconductor region.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: February 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Brian J. Greene, Dureseti Chidambarrao, Gregory G. Freeman
  • Publication number: 20120034755
    Abstract: A method and manufacture for fabrication of flash memory is provided. In fabricating the periphery region of the flash memory, the low voltage gate oxides and high voltage gate oxides are grown to the same height as each other prior to STI etching. After STI etching and gap fill, the nitride above the high voltage gate oxide regions are etched, and the oxide in high voltage gate oxide regions is grown to the appropriate thickness for a high voltage gate oxide.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 9, 2012
    Applicant: Spansion LLC
    Inventors: Fei Wang, Chih-Yun Lin