Using Trench Refilling With Dielectric Materials (epo) Patents (Class 257/E21.546)
  • Publication number: 20110076832
    Abstract: A method of forming a hardmask for defining shallow trench isolation regions in a semiconductor substrate layer includes the steps of: depositing a hardmask layer over the semiconductor substrate layer; depositing and patterning a first photoresist layer over the hardmask layer; etching the hardmask layer after patterning the first photoresist layer to form an interim hardmask layer having at least one line feature; depositing and patterning a second photoresist layer over the interim hardmask layer; and forming a hardmask, the forming step including etching the interim hardmask layer after patterning the second photoresist layer to define a line end of the at least one line feature.
    Type: Application
    Filed: September 30, 2009
    Publication date: March 31, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ming-Jie HUANG, Chen-Ping CHEN, Tung-Ying LEE
  • Patent number: 7915138
    Abstract: In a method of manufacturing a non-volatile memory device, a conductive structure is formed on a substrate. The conductive structure includes a tunnel oxide pattern, a first conductive pattern, a pad oxide pattern and a hard mask pattern. A trench is formed on the substrate using the conductive structure as an etching mask. An inner oxide layer is formed on an inner wall of the trench and sidewalls of the tunnel oxide pattern and the first conductive pattern. The inner oxide layer is cured, thereby forming a silicon nitride layer on the inner oxide layer. A device isolation pattern is formed in the trench, and the hard mask pattern and the pad oxide pattern are removed from the substrate. A dielectric layer and a second conductive pattern are formed on the substrate. Accordingly, the silicon nitride layer prevents hydrogen (H) atoms from leaking into the device isolation pattern.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: March 29, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hye-Jin Cho, Kyu-Charn Park, Choong-Ho Lee, Byung-Yong Choi
  • Publication number: 20110068476
    Abstract: According to one embodiment, a manufacturing method of a semiconductor device is disclosed. The semiconductor device includes a semiconductor substrate having first and second main surfaces, and a through hole passing through between the first and second main surfaces, a pad on the first main surface, a through electrode in the through hole, and a connection structure including a connection portion to directly connect the pad and the through electrode, and another connection portion to indirectly connect the pad and the through electrode. The method includes forming an isolation region in the first main surface, the isolation region being in a region where the through electrode is to be formed and being in a region other than the region where the through hole is to be formed, forming the pad, and forming the through hole by processing the substrate to expose a part of the pad.
    Type: Application
    Filed: September 3, 2010
    Publication date: March 24, 2011
    Inventors: Atsuko KAWASAKI, Kenichiro Hagiwara, Ikuko Inoue, Kazutaka Akiyama, Itsuko Sakai, Mie Matsuo, Masahiro Sekiguchi, Yoshiteru Koseki, Hiroki Neko, Koushi Tozuka, Kazuhiko Nakadate, Takuto Inoue
  • Publication number: 20110068431
    Abstract: Semiconductor structures and methods for forming isolation between fin structures formed from a bulk silicon wafer are provided. A bulk silicon wafer is provided having one or more fin structures formed therefrom. Forming of the fin structures defines isolation trenches between the one or more fin structures. Each of the fin structures has vertical sidewalls. An oxide layer is deposited in the isolation trenches and on the vertical sidewalls using HPDCVD in about a 4:1 ratio or greater. The oxide layer is isotropically etched to remove the oxide layer from the vertical sidewalls and a portion of the oxide layer from the bottom of the isolation trenches. A substantially uniformly thick isolating oxide layer is formed on the bottom of the isolation trench to isolate the one or more fin structures and substantially reduce fin height variability.
    Type: Application
    Filed: September 18, 2009
    Publication date: March 24, 2011
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Andreas KNORR, Frank Scott JOHNSON
  • Patent number: 7910423
    Abstract: A semiconductor device includes an SOI substrate, a first STI-type isolation region, a second STI-type isolation region, and an alignment mark region. The SOI substrate includes a support substrate, an insulating layer deposited on the support substrate, and a semiconductor layer which includes a thin film region and a thick film region. The thin film region includes a first semiconductor layer deposited on the support substrate, and the thick film region includes the first semiconductor layer and a second semiconductor layer deposited on a part of the first semiconductor layer. The first STI-type isolation region is disposed at the thin film region. The second STI-type isolation region is disposed at the thick film region. The alignment mark region is disposed at the thick film region. An alignment mark to be used for alignment of the second STI-type isolation region is disposed at the alignment mark region.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: March 22, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Shinji Ohara
  • Patent number: 7910486
    Abstract: A method for forming a semiconductor device includes forming a nanotube region using a thin epitaxial layer formed on the sidewall of a trench in the semiconductor body. The thin epitaxial layer has uniform doping concentration. In another embodiment, a first thin epitaxial layer of the same conductivity type as the semiconductor body is formed on the sidewall of a trench in the semiconductor body and a second thin epitaxial layer of the opposite conductivity type is formed on the first epitaxial layer. The first and second epitaxial layers have uniform doping concentration. The thickness and doping concentrations of the first and second epitaxial layers and the semiconductor body are selected to achieve charge balance. In one embodiment, the semiconductor body is a lightly doped P-type substrate. A vertical trench MOSFET, an IGBT, a Schottky diode and a P-N junction diode can be formed using the same N-Epi/P-Epi nanotube structure.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: March 22, 2011
    Assignee: Alpha & Omega Semiconductor, Inc.
    Inventors: Hamza Yilmaz, Xiaobin Wang, Anup Bhalla, John Chen, Hong Chang
  • Patent number: 7910491
    Abstract: A method of filling a trench is described and includes depositing a dielectric liner with a high ratio of silicon oxide to dielectric liner etch rate in fluorine-containing etch chemistries. Silicon oxide is deposited within the trench and etched to reopen or widen a gap near the top of the trench. The dielectric liner protects the underlying substrate during the etch process so the gap can be made wider. Silicon oxide is deposited within the trench again to substantially fill the trench.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Young Soo Kwon, Bi Jang, Anchuan Wang, Young S. Lee, Mihaela Balseanu, Li-Qun Xia, Jin Ho Jeon
  • Publication number: 20110062546
    Abstract: The present invention provides a semiconductor structure comprising high-k material portions that are self-aligned with respect to the active areas in the semiconductor substrate and a method of fabricating the same. The high-k material is protected from oxidation during the fabrication of the semiconductor structure and regrowth of the high-k material and shifting of the high-k material work function is prevented.
    Type: Application
    Filed: September 11, 2009
    Publication date: March 17, 2011
    Applicant: IBM Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Pranita Kulkami
  • Publication number: 20110059594
    Abstract: The present invention relates to flash memory devices and a method of fabricating the same. In an aspect of the present invention, the flash memory device includes trenches formed in a semiconductor substrate and having a step at their lower portion, a tunnel insulating layer formed in an active region of the semiconductor substrate, first conductive layers formed on the tunnel insulating layer, an isolation layer gap-filling between the trenches and the first conductive layers, and a second conductive layer formed on the first conductive layer and having one side partially overlapping with the isolation layers.
    Type: Application
    Filed: November 10, 2010
    Publication date: March 10, 2011
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Cha Deok Dong
  • Patent number: 7902037
    Abstract: A method for fabricating an isolation structure in a memory device includes forming a first trench in a cell region of a semiconductor substrate and a second trench in a peripheral region of the semiconductor substrate. The method also includes oxidating the surface of the first and second trenches to form a sidewall oxide layer; depositing a tetraethylorthosilicate(TEOS) layer on the sidewall oxide layer; forming a silicon nitride layer and a silicon oxide layer on the TEOS layer; selectively removing portions of the silicon nitride and silicon oxide layers on the second trench to expose a portion of the underlying TEOS layer; coating a flowable insulation layer that fills the first and second trenches; and curing the flowable insulation layer.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: March 8, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Eun
  • Patent number: 7902036
    Abstract: A method of fabricating a semiconductor device includes forming trench-like recesses in a semiconductor substrate, the recesses including one or more recesses each of which has an opening width of not more than a predetermined value, forming a first insulating film above the substrate after the recesses have been formed, so that one or a plurality of voids are formed in the one or more recesses whose opening widths are not more than the predetermined value, removing part of the first insulating film so that a beam is left which spans the openings so that the beam passes over upper surfaces of the one or more recesses and so that at least the voids are exposed in a portion of the substrate except the beam, and filling the voids in the recesses with a material with fluidity, thereby forming second insulating films in the recesses.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: March 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Nobuhide Yamada
  • Patent number: 7902628
    Abstract: The present invention relates to a semiconductor device with a device isolation structure and a method for fabricating the same. The semiconductor device includes: a substrate provided with a trench formed in the substrate; and at least one device isolation structure including an oxide layer formed on the trench, a nitride layer formed on the oxide layer disposed on sidewalls of the trench and a high density plasma oxide layer formed on the nitride layer to fill the trench.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: March 8, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae-Eun Lim, Sun-Hwan Hwang
  • Publication number: 20110053327
    Abstract: Example embodiments relate to a method of forming a recess and a method of manufacturing a semiconductor device having the same. The method includes forming a field region defining an active region in a substrate. The active region extends in a first direction in the substrate. The method further includes forming a preliminary recess extending in a second direction different from the first direction and crossing the active region in the substrate, plasma-oxidizing the substrate to form a sacrificial oxide layer along a surface of the substrate having the preliminary recess, and removing portions of the sacrificial oxide layer and the active region by plasma etching to form a recess having a width larger than a width of the preliminary recess, where an etch rate of the active region is one to two times greater than an etch rate of the sacrificial oxide layer.
    Type: Application
    Filed: August 23, 2010
    Publication date: March 3, 2011
    Inventors: Jun-Ho YOON, Kyoung-Sub Shin, Sung-Sam Lee, Kung-Hyon Nam, Hong Cho, Joon-Seok Moon
  • Publication number: 20110053339
    Abstract: In one embodiment, a method for manufacturing a semiconductor device includes forming a first conductor layer on a surface of a semiconductor layer via a tunnel insulating film. The method includes forming an isolation trench extending from a surface of the first conductor layer to the semiconductor layer to form a plurality of conductive plates on the tunnel insulating film. The method includes filling the isolation trench with an element insulation insulating film from bottom of the isolation trench to an intermediate portion of a side surface of each of the conductive plates. The method includes forming a silicon nitride film on an exposed surface of the each of the conductive plates not covered with the element insulation insulating film. In addition, the method includes filling an upper portion of the isolation trench by forming a second conductor layer above the conductive plates and the element insulation insulating film.
    Type: Application
    Filed: August 5, 2010
    Publication date: March 3, 2011
    Inventor: Yoshio OZAWA
  • Publication number: 20110053341
    Abstract: A memory circuit arrangement and a fabrication method are disclosed. The memory circuit arrangement has a memory cell area. The memory cell area contains memory cell transistors, one column of which are selected using a triple gate area selection transistor. The transistor has gate area that extends into isolating trenches. The isolating trenches isolate the memory cell in different columns of the memory cell array.
    Type: Application
    Filed: November 8, 2010
    Publication date: March 3, 2011
    Inventors: Ronald Kakoschke, Franz Schuler
  • Publication number: 20110049668
    Abstract: Deep trench isolation structures between high voltage semiconductor devices and fabrication methods thereof are presented.
    Type: Application
    Filed: September 2, 2009
    Publication date: March 3, 2011
    Inventors: Ming-Cheng LIN, Wen-Hsun LO, Shih-Chieh PU, Yu-Long CHANG
  • Publication number: 20110053340
    Abstract: A method of forming a trench isolation, comprising the steps of: applying a silicone resin composition comprising a silicone resin which is represented by the following rational formula (1) and is solid at 120° C.: (H2SiO)n(HSiO1.5)m(SiO2)k??(1) (wherein n, m and k are each a number, with the proviso that when n+m+k=1, n is 0 to 0.8, m is 0 to 1.0, and k is 0 to 0.2) and an organic solvent to a substrate having trenches in such a manner that the trenches of the substrate are filled with the silicone resin composition so as to form a coating film; and carrying out the step of bringing the coating film into contact with at least one selected from the group consisting of water, an alcohol and hydrogen peroxide and the step of subjecting the coating film to at least one treatment selected from the group consisting of a heat treatment and an optical treatment.
    Type: Application
    Filed: January 30, 2009
    Publication date: March 3, 2011
    Applicant: JSR CORPORATION
    Inventors: Seitarou Hattori, Manabu Sekiguchi, Terukazu Kokubo, Kentaro Tamaki, Tsuyoshi Furukawa, Taichi Matsumoto, Chiaki Miyamoto
  • Publication number: 20110053337
    Abstract: A self-alignment method for a recess channel dynamic random access memory includes providing a substrate with a target layer, a barrier layer and a lining layer, wherein the target layer has shallow trench isolation structures; patternizing the lining layer, barrier layer and target layer to form recess trench channels; depositing a dielectric layer onto the recess trench channel; forming an ion doped region in the target layer; removing a portion of the dielectric layer to expose a portion of the recess trench channel; forming a filler layer covered onto the recess trench channel; removing a portion of the filler layer to expose a portion of the recess trench channel; forming a passivation layer onto the recess trench channel; removing the passivation layer on the lining layer; and removing the lining layer to form a plurality of structural monomers disposed at the recess trench channel and protruded from the target layer.
    Type: Application
    Filed: June 30, 2010
    Publication date: March 3, 2011
    Applicant: INOTERA MEMORIES, INC.
    Inventors: CHIEN-HSUN CHEN, TZUNG HAN LEE, CHUNG-LIN HUANG
  • Publication number: 20110049669
    Abstract: A method for forming an isolation layer of a semiconductor device includes forming a trench in a substrate, forming a high-density plasma (HDP) oxide layer filling a portion of the trench, forming a spin-on-dielectric (SOD) oxide layer having a certain height over the HDP oxide layer, performing a thermal treatment, and forming an enhanced high-aspect-ratio process (eHARP) oxide layer filling another portion of the trench over the SOD oxide layer.
    Type: Application
    Filed: July 9, 2010
    Publication date: March 3, 2011
    Inventor: Yu-Jin Lee
  • Patent number: 7897996
    Abstract: A semiconductor device includes: an insulating film provided on a back surface of a semiconductor substrate; a plurality of isolation regions provided to reach the insulating film from a main surface of the semiconductor substrate; at least a first semiconductor layer and a second semiconductor layer which are electrically insulated from each other by the isolation regions in the semiconductor substrate; a first voltage applied terminal electrically connected to a front surface of the first semiconductor layer; a second voltage applied terminal electrically connected to a front surface of the second semiconductor layer; a selector circuit receiving voltages from the first voltage applied terminal and the second voltage applied terminal, and supplying an output in accordance with a combination of the voltages; and a conductive layer provided so as to contact with the insulating film provided to the back side of the semiconductor substrate.
    Type: Grant
    Filed: January 6, 2009
    Date of Patent: March 1, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masakazu Tashibu, Katsu Honna, Atsushi Jinnai
  • Publication number: 20110045651
    Abstract: A method includes the steps of: introducing insulation film into a trench to provide a trench isolation; planarizing the trench isolation to expose a passivation film; and removing the passivation film and depositing a second silicon layer on a first silicon layer and the trench isolation; and in the step of depositing the first silicon layer the first silicon layer is an undoped silicon layer and in the step of depositing the second silicon layer the second silicon layer is a doped silicon layer or an undoped silicon layer subsequently having an impurity introduced thereinto or the like and thermally diffused through subsequent thermal hysteresis into the first silicon layer.
    Type: Application
    Filed: October 29, 2010
    Publication date: February 24, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Yasuki MORINO, Yoshihiko KUSAKABE, Ryuichi WAKAHARA
  • Publication number: 20110045648
    Abstract: Methods are provided for fabricating Bulk FinFET devices having deep trench isolation. One or more deep isolation trenches are formed in a bulk silicon wafer. Mandrel-forming material is deposited overlying the bulk silicon wafer and dielectric pad layer thereon and simultaneously into the trench(es) as filler material. Mandrels are formed, overetching thereof creating a recess at the trench upper end. A conformal sidewall spacer material from which sidewall spacers are fabricated is deposited overlying the mandrels and into the recess forming a spacer overlying the filler material in the trench(es). Mandrels are removed using the spacer as an etch stop. Fin structures are formed from the bulk silicon wafer using the sidewall spacers as an etch mask. The mandrel-forming material is amorphous and/or polycrystalline silicon.
    Type: Application
    Filed: August 20, 2009
    Publication date: February 24, 2011
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Andreas KNORR, Frank Scott JOHNSON
  • Publication number: 20110042730
    Abstract: A formation method of an element isolation film according to which a high-voltage transistor with an excellent characteristic can be formed is provided. On a substrate, a gate oxide film is previously formed. A CMP stopper film is formed thereon, and thereafter, a gate oxide film and a CMP stopper film are etched. The semiconductor substrate is etched to form a trench. Further, before the trench is filled with a field insulating film, an liner insulating film is formed at a trench interior wall, and a concave portion at the side surface of the gate oxide film under the CMP stopper film is filled with the liner insulating film. In this manner, formation of void in the element isolation film laterally positioned with respect to the gate oxide film can be prevented.
    Type: Application
    Filed: August 12, 2010
    Publication date: February 24, 2011
    Inventors: Masayuki Tajiri, Takayoshi Hashimoto, Hisashi Yonemoto, Toyohiro Harazono
  • Patent number: 7892942
    Abstract: Some embodiments include methods of forming isolation regions in which spin-on material (for example, polysilazane) is converted to a silicon dioxide-containing composition. The conversion may utilize one or more oxygen-containing species (such as ozone) and a temperature of less than or equal to 300° C. In some embodiments, the spin-on material is formed within an opening in a semiconductor material to form a trenched isolation region. Other dielectric materials may be formed within the opening in addition to the silicon dioxide-containing composition formed from the spin-on material. Such other dielectric materials may include silicon dioxide formed by chemical vapor deposition and/or silicon dioxide formed by high-density plasma chemical vapor deposition.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: February 22, 2011
    Assignee: Micron Technology Inc.
    Inventors: Robert J. Hanson, Janos Fucsko
  • Patent number: 7892929
    Abstract: A method for rounding the corners of a shallow trench isolation is provided. A preferred embodiment comprises filling the trench with a dielectric and recessing the dielectric to expose a portion of the sidewalls of the trench adjacent to the surface of the substrate. The substrate is then annealed in a hydrogen ambient, which rounds the corners of the shallow trench isolation through silicon migration.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: February 22, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Neng-Kuo Chen, Kuo-Hwa Tzeng, Cheng-Yuan Tsai, Jeffrey Junhao Xu
  • Patent number: 7892941
    Abstract: A shallow isolation trench structure and methods of forming the same wherein the method of formation comprises a layered structure of a buffer film layer over a dielectric layer which is atop a semiconductor substrate. Tile buffer film layer comprises a material which is oxidation resistant and can be etched selectively to oxide films. The layered structure is patterned with a resist material and etched to form a shallow trench. A thin oxide layer is formed in the trench and the buffer film layer is selectively etched to move the buffer film layer back from the corners of the trench. An isolation material is then used to fill the shallow trench and the buffer film layer is stripped to form an isolation structure. When the structure is etched by subsequent processing step(s), a capped shallow trench isolation structure which covers the shallow trench corners is created.
    Type: Grant
    Filed: May 5, 1998
    Date of Patent: February 22, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Pai Hung Pan
  • Publication number: 20110037115
    Abstract: A method for forming a memory device is provided. A nitride layer is formed over a substrate. The nitride layer and the substrate are etched to form a trench. The nitride layer is trimmed on opposite sides of the trench to widen the trench within the nitride layer. The trench is filled with an oxide material. The nitride layer is stripped from the memory device, forming a mesa above the trench.
    Type: Application
    Filed: October 22, 2010
    Publication date: February 17, 2011
    Applicants: SPANSION LLC, ADVANCED MICRO DEVICES, INC.
    Inventors: Unsoon KIM, Angela T. HUI, Yider WU, Kuo-Tung CHANG, Hiroyuki KINOSHITA
  • Publication number: 20110037140
    Abstract: A method of manufacture an integrated circuit system includes: forming an insulation region in a base layer; filling an insulator in the insulation region around a perimeter of a main chip region; forming a contact directly on and within planar extents of the insulator; and forming an upper layer over the contact to protect the main chip region.
    Type: Application
    Filed: August 14, 2009
    Publication date: February 17, 2011
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Soon Yoeng Tan, Teck Jung Tang
  • Publication number: 20110039388
    Abstract: Techniques are disclosed that facilitate fabrication of semiconductors including structures and devices of varying thickness. One embodiment provides a method for semiconductor device fabrication that includes thinning a region of a semiconductor wafer upon which the device is to be formed thereby defining a thin region and a thick region of the wafer. The method continues with forming on the thick region one or more photonic devices and/or partially depleted electronic devices, and forming on the thin region one or more fully depleted electronic devices. Another embodiment provides a semiconductor device that includes a semiconductor wafer defining a thin region and a thick region. The device further includes one or more photonic devices and/or partially depleted electronic devices formed on the thick region, and one or more fully depleted electronic devices formed on the thin region. An isolation area can be formed between the thin region and the thick region.
    Type: Application
    Filed: October 27, 2010
    Publication date: February 17, 2011
    Applicant: BAE Systems Information and Electronic Systems Integration Inc.
    Inventors: Craig M. HILL, Andrew TS POMERENE, Daniel N. CAROTHERS, Timothy J. CONWAY, Vu A. VU
  • Publication number: 20110037142
    Abstract: An SOI wafer and a method for forming the same, where the method for forming an SOI wafer includes: preparing a monocrystalline silicon wafer on which a mask layer is formed; etching the mask layer and the monocrystalline silicon wafer to form several trenches; forming a first insulating layer on the sidewalls and the bottoms of the trenches; etching and removing the first insulating layer on the bottoms of the trenches; etching along the trenches the monocrystalline silicon wafer beneath the trenches to form cavities; processing the inner walls of the cavities to form a second insulating layer; and filling up the trenches and the cavities with an insulating material layer. The process of the invention is easy to be implemented at a low manufacturing cost and an SOI wafer being formed is of high quality while being capable of being compatible with a standard process of manufacturing a bulk silicon CMOS.
    Type: Application
    Filed: June 14, 2010
    Publication date: February 17, 2011
    Applicant: Jiangsu Lexvu Electronics Co., Ltd.
    Inventor: Herb He Huang
  • Publication number: 20110034004
    Abstract: A method of fabricating a semiconductor device, the method including forming a buffer oxide layer in a first region and a second region of a semiconductor substrate; forming a plurality of first preliminary mask patterns on the buffer oxide layer in the first region; forming a plurality of second preliminary mask patterns between every two adjacent first preliminary mask patterns from among the plurality of first preliminary mask patterns, respectively; forming a plurality of first mask patterns and a plurality of second mask patterns by trimming the plurality of first preliminary mask patterns and the plurality of second preliminary mask patterns; forming a plurality of first active region mask patterns for exposing the semiconductor substrate; defining a plurality of active regions in the semiconductor substrate by forming a trench including a plurality of first trench spaces having same width as the first space and a plurality of second trench spaces under the second space in the first region; and forming
    Type: Application
    Filed: August 4, 2010
    Publication date: February 10, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Se-myeong Jang, Dae-ik Kim, Hye-rim Park, Chang-suk Hyun
  • Patent number: 7884441
    Abstract: Provided are a semiconductor device and a method of fabricating the same. The semiconductor device includes a plurality of device isolation layers disposed in a semiconductor substrate, the device isolation layers extending in a word line direction and spaced apart from each other; a plurality of floating gate devices extending in a bit line direction perpendicular to the device isolation layer and spaced apart from each other; a source region and a drain region disposed at sides of the floating gate device; an insulation layer disposed on the floating gate device and the source region, and a polysilicon line extending in the word line direction and connected to the drain region.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: February 8, 2011
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Nam Yoon Kim
  • Patent number: 7883913
    Abstract: A manufacturing method of an image sensor of vertical type is provided that includes: forming an insulation layer with a metal wiring and a contact plug therein on a first substrate; bonding a second substrate having an image sensing unit over the insulation layer; forming a trench in the second substrate to divide the image sensing unit for each pixel; forming a PTI by gap-filling the trench with insulating material; forming a first material layer over the PTI, the image sensing unit, and the insulation layer; and forming a second material layer over the first material layer and performing a deuterium annealing process thereon. The crystal defects of the substrate generated when performing the trench etching on the donor substrate to define unit pixels are cured by performing the deuterium annealing process, making it possible to improve the sensitivity and illumination characteristics of the image sensor of vertical type.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jong Man Kim
  • Publication number: 20110027966
    Abstract: A method for fabricating an isolation layer in a semiconductor device, comprising: forming a trench in a semiconductor substrate; forming a flowable insulation layer on the trench and the semiconductor substrate; converting the flowable insulation layer to a silicon oxide layer by implementing a curing process comprising continuously heating the flowable insulation layer; and forming an isolation layer by planarizing the silicon oxide layer.
    Type: Application
    Filed: December 28, 2009
    Publication date: February 3, 2011
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jin Yul Lee
  • Publication number: 20110024794
    Abstract: A vertical fin structure for a semiconductor transistor includes a semiconductor substrate, a fin layer on top of the substrate, a capping layer overlaying the fin layer, wherein the substrate comprises group IV semiconductor material, the fin layer comprises group IV semiconductor material, the capping layer comprises semiconductor compound from group III-V. The fin layer can comprise Ge, SiGe, SiC, or any combinations thereof. The semiconductor substrate can comprise Si, Ge, SiGe, or SiC. The capping layer can comprise GaAs, InGaAs, InAs, InSb, GaSb, GaN, InP, or any combinations thereof. The capping layer can provide more than a 4 percent lattice mismatch with the semiconductor substrate. The fin layer can be located in between shallow trench insulation (STI) layers that provide isolation from adjacent devices. The vertical fin structure can further include a high-k dielectric layer overlaying the capping layer and a metal gate layer overlaying the high-k dielectric layer.
    Type: Application
    Filed: June 10, 2010
    Publication date: February 3, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Hsin KO, Clement Hsingjen WANN
  • Publication number: 20110027965
    Abstract: The active region of an NMOS transistor and the active region of a PMOS transistor are divided by an STI element isolation structure. The STI element isolation structure is made up of a first element isolation structure formed so as to include the interval between both active regions, and a second element isolation structure formed in the region other than the first element isolation structure.
    Type: Application
    Filed: September 20, 2010
    Publication date: February 3, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Naoyoshi Tamura
  • Patent number: 7879727
    Abstract: A method of fabricating a semiconductor device including depositing a hardmask layer on a layer of the semiconductor device, selectively etching a pattern of continuous lines in the hardmask layer, depositing an antireflective coating over remaining portions of the hardmask layer, depositing a photoresist layer on the antireflective coating, patterning the photoresist layer with a plurality of isolation trenches via a lithography process, each of the isolation trenches extending perpendicular to and crossing portions of at least one of the continuous lines of the underlying hardmask layer, and with each isolation trench having an initial width.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: February 1, 2011
    Assignee: Infineon Technologies AG
    Inventors: Sergei Postnikov, Thomas Schulz, Hans-Joachim Barth, Klaus von Arnim
  • Publication number: 20110018060
    Abstract: Methods and structures for improving substrate loss and linearity in SOI substrates. The methods include forming damaged crystal structure regions under the buried oxide layer of SOI substrates and the structures included damaged crystal structure regions under the buried oxide layer of the SOI substrate.
    Type: Application
    Filed: July 22, 2009
    Publication date: January 27, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alan Bernard Botula, David S. Collins, Alvin Jose Joseph, Howard Smith Landis, James Albert Slinkman, Anthony K. Stamper
  • Patent number: 7875527
    Abstract: A trench is formed on a semiconductor substrate with a first insulation film patterned on the semiconductor substrate as a mask; a second insulation film is embedded in the trench and flattened; an upper portion of the first insulation film is selectively removed, and a part of a side face of the second insulation film is exposed; a part of the second insulation film is isotropically removed; a lower portion of the remaining first insulation film is selectively removed; and then a part of the remaining second insulation film is further isotropically removed so that an upper face of the second insulation film is at a predetermined height from a surface of the semiconductor substrate, a taper having a minimum taper angle of 90° or more is formed on the side face of the second insulation film, and a STI is formed.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: January 25, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasuhiro Ito, Kunihiro Miyazaki, Kenji Takakura
  • Publication number: 20110012186
    Abstract: A first dielectric plug is formed in a portion of a trench that extends into a substrate of a memory device so that an upper surface of the first dielectric plug is recessed below an upper surface of the substrate. The first dielectric plug has a layer of a first dielectric material and a layer of a second dielectric material formed on the layer of the first dielectric material. A second dielectric plug of a third dielectric material is formed on the upper surface of the first dielectric plug.
    Type: Application
    Filed: September 27, 2010
    Publication date: January 20, 2011
    Inventor: Michael Violette
  • Publication number: 20110012226
    Abstract: The manufacturing method includes etching a semiconductor substrate to form a trench, preparing a liner nitride layer over the semiconductor substrate to cover the inner side of the trench, depositing a protective oxide layer over the liner nitride layer, preparing a gap filling dielectric layer over the semiconductor substrate with the trench having the protective oxide layer deposited therein, and planarizing the gap filling dielectric layer to form a device isolation film. To prevent damage to the pad nitride layer and the inner bottom of the trench, the method further includes deposition of a protective oxide layer such as HTO film throughout a surface of the substrate as well as the inner side of the trench, thereby producing a semiconductor device with excellent quality.
    Type: Application
    Filed: October 1, 2009
    Publication date: January 20, 2011
    Inventor: Doo-Sung Lee
  • Publication number: 20110014773
    Abstract: A method of fabricating a metal gate structure is provided. The method includes providing a semiconductor substrate with a planarized polysilicon material; patterned the planarized polysilicon material to form at least a first gate and a second gate, wherein the first gate is located on the active region and the second gate at least partially overlaps with the isolation region; forming an inter-layer dielectric material covering the gates; planarizing the inter-layer dielectric material until exposing the gates and forming an inter layer-dielectric layer; performing an etching process to remove the gates to form a first recess and a second recess within the inter-layer dielectric layer; forming a gate dielectric material on a surface of each of the recesses; forming at least a metal material within the recesses; and performing a planarization process.
    Type: Application
    Filed: September 27, 2010
    Publication date: January 20, 2011
    Inventors: Chien-Ting Lin, Che-Hua Hsu, Li-Wei Cheng
  • Patent number: 7871897
    Abstract: A mask pattern is formed on a semiconductor substrate in which a cell region, a PMOS region, and an NMOS region are defined. Trenches are formed in the cell region, the PMOS region, and the NMOS region. A sidewall oxide layer and a protection layer are formed in the trenches, and a portion of the protection layer in the PMOS region is removed. A first device isolation insulating layer is formed on the substrate, filling the trenches. Portions of the first device isolation insulating layer are removed to expose the mask pattern and the trenches of the cell region and the NMOS region and to leave a portion of the first device isolation insulating layer in the trench in the PMOS region. A liner is formed on the portion of the first device isolation region in the trench in the PMOS region and conforming to sidewalls of the trenches in the cell region and the NMOS region. A second device isolation insulating layer is formed on the substrate, filling the trenches in the cell region and the NMOS region.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: January 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-woon Shin, Soo-jin Hong, Guk-hyon Yon, Si-young Choi, Sun-ghil Lee
  • Patent number: 7872333
    Abstract: A layer system is described including a silicon layer and a passivation layer which is applied at least regionally to the silicon layer's surface, the passivation layer having a first, at least largely inorganic partial layer and a second partial layer, the second partial layer being made of an organic compound including silicon or containing such a material. In particular, the second partial layer is structured in the form of a “self-assembled monolayer.” Furthermore, a method is described for creating a passivation layer on a silicon layer, a first, inorganic partial layer being created on the silicon layer and a second partial layer, containing an organic compound including silicon or being made thereof, being created at least in certain areas on the first partial layer. Both partial layers form the passivation layer. The described layer system or the described method is particularly suited for creating self-supporting structures in silicon.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: January 18, 2011
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Lutz Mueller, Winfried Bernhard
  • Publication number: 20110006390
    Abstract: A method for forming an STI structure is provided. In one embodiment, a trench is formed in a substrate, the trench having a first sidewall and a second sidewall opposite the first sidewall, the sidewalls extending down to a bottom portion of the trench. An insulating material is deposited to line the surfaces of the sidewalls and the bottom portion. The insulating material proximate the top portions and the bottom portion of the trench are thereafter etched back. The insulating material is deposited to line the inside surfaces of the trench at a rate sufficient to allow a first protruding insulating material deposited on the first sidewall and a second protruding insulating material deposited on the second sidewall to approach theretogether. The steps of etching back and depositing are repeated to have the first and second protruding materials abut, thereby forming a void near the bottom of the trench.
    Type: Application
    Filed: April 9, 2010
    Publication date: January 13, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Lien HUANG, Han-Pin CHUNG, Shiang-Bau WANG
  • Patent number: 7867921
    Abstract: A processing chamber is seasoned by providing a flow of season precursors to the processing chamber. A high-density plasma is formed from the season precursors by applying at least 7500 W of source power distributed with greater than 70% of the source power at a top of the processing chamber. A season layer having a thickness of at least 5000 ? is deposited at one point using the high-density plasma. Each of multiple substrates is transferred sequentially into the processing chamber to perform a process that includes etching. The processing chamber is cleaned between sequential transfers of the substrates.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: January 11, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Anchuan Wang, Young S. Lee, Manoj Vellaikal, Jason Thomas Bloking, Jin Ho Jeon, Hemant P. Mungekar
  • Publication number: 20110003458
    Abstract: Provided are a method of forming a device isolation layer and a method of fabricating a semiconductor device. The method includes: forming a first trench and a second trench in a substrate, wherein the second trench is connected to the first trench and has a width smaller than the first trench; forming a liner insulation layer in the second trench such that the liner insulation layer is buried in the second trench; and forming a gap fill insulation layer on the liner insulation layer such that the gap fill insulation layer is buried in the first trench.
    Type: Application
    Filed: July 2, 2010
    Publication date: January 6, 2011
    Inventors: Seung-jae Lee, Jin-gi Hong
  • Publication number: 20110003457
    Abstract: The invention relates to a semiconductor component with trench isolation and to an associated fabrication method, a trench isolation (STI, TTI) having a deep isolation trench with a covering insulation layer (10, 11), a side wall insulation layer (6) and an electrically conductive filling layer (7), which is electrically connected to a predetermined doping region (1) of the semiconductor substrate in a bottom region of the trench. The use of a trench contact (DTC), which has a deep contact trench with a side wall insulation layer (6) and an electrically conductive filling layer (7), which is likewise electrically connected to the predetermined doping region (1) of the semiconductor substrate in a bottom region of the contact trench, makes it possible to improve the electrical shielding properties with a reduced area requirement.
    Type: Application
    Filed: September 15, 2010
    Publication date: January 6, 2011
    Inventors: Franz Schuler, Georg Tempel
  • Publication number: 20110003459
    Abstract: A method for fabricating a semiconductor device is provided, the method includes forming a plug conductive layer over an entire surface of a substrate, etching the plug conductive layer to form landing plugs, etching the substrate between the landing plugs to form a trench, forming a gate insulation layer over a surface of the trench and forming a buried gate partially filling the trench over the gate insulation layer.
    Type: Application
    Filed: November 6, 2009
    Publication date: January 6, 2011
    Inventors: Jong-Han Shin, Jum-Yong Park
  • Patent number: 7858490
    Abstract: A semiconductor device having a memory cell area and a peripheral circuit area includes a silicon substrate and an isolation structure implemented by a silicon oxide film formed on a surface of the silicon substrate. A depth of the isolation structure in the memory cell area is smaller than a depth of the isolation structure in the peripheral circuit area, and an isolation height of the isolation structure in the memory cell area is substantially the same as an isolation height of the isolation structure in the peripheral circuit area. Reliability of the semiconductor device can thus be improved.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: December 28, 2010
    Assignee: Renesas Electronics Corporation
    Inventors: Noriyuki Mitsuhira, Takehiko Nakahara, Yasusuke Suzuki, Jun Sumino