By Forming Via Holes (epo) Patents (Class 257/E21.577)
  • Patent number: 7795142
    Abstract: A method for fabricating a semiconductor device includes forming a dielectric film containing a porogen material above a substrate; removing a portion of the porogen material contained in the dielectric film so as to make a concentration of the porogen material higher in a part on a lower side of the dielectric film than in another part on a higher side of the dielectric film; forming an opening halfway in the dielectric film from which a portion of the porogen material has been removed to leave the dielectric film below a bottom of the opening; removing or polymerizing a remainder of the porogen material contained in the dielectric film; and etching the bottom of the opening after removing or polymerizing the remainder of the porogen material.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: September 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Masuda, Hideshi Miyajima, Toshiaki Idaka
  • Patent number: 7790609
    Abstract: A method of forming a metal line in a semiconductor device is disclosed. The method of forming a metal line in a semiconductor device includes forming an interlayer insulating film over a substrate. A via hole may be formed by selectively patterning the interlayer insulating film. A metal film may be formed over a surface of the interlayer insulating film including an inner portion of the via hole. The inner portion of the via hole may be filled with copper. A copper layer exposed over the surface of the interlayer insulating film may be deplated using reverse current to form a copper metal line and a recess region over the copper metal line. An upper insulating film may be formed over the surface of the interlayer insulating film including the recess region by deposition. An insulating cap layer may be selectively formed over only the recess region on the copper metal line by etching the upper insulating film.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: September 7, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ji-Ho Hong
  • Patent number: 7786006
    Abstract: A method for forming an interconnect structure for copper metallization and an interconnect structure containing a metal nitride diffusion barrier are described. The method includes providing a substrate having a micro-feature opening formed within a dielectric material and forming a metal nitride diffusion barrier containing ruthenium, nitrogen, and a nitride-forming metal over the surfaces of the micro-feature. The nitride-forming metal is selected from Groups IVB, VB, VIB, and VIIB of the Periodic Table, and the metal nitride diffusion barrier is formed by exposing the substrate to a precursor of the nitride-forming metal, a nitrogen precursor, and a ruthenium precursor.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: August 31, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Patent number: 7786585
    Abstract: In a semiconductor integrated circuit device having plural layers of buried wirings, it is intended to prevent the occurrence of a discontinuity caused by stress migration at an interface between a plug connected at a bottom thereof to a buried wiring and the buried wiring. For example, in the case where the width of a first Cu wiring is not smaller than about 0.9 ?m and is smaller than about 1.44 ?m, and the width of a second Cu wiring and the diameter of a plug are about 0.18 ?m, there are arranged two or more plugs which connect the first wirings and the second Cu wirings electrically with each other.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: August 31, 2010
    Assignee: Renesas Electronics Corp.
    Inventors: Takako Funakoshi, Eiichi Murakami, Kazumasa Yanagisawa, Kan Takeuchi, Hideo Aoki, Hizuru Yamaguchi, Takayuki Oshima, Kazuyuki Tsunokuni, Kousuke Okuyama
  • Patent number: 7786023
    Abstract: A metal pad formation method and metal pad structure using the same are provided. A wider first pad metal is formed together with a first metal. A dielectric layer is then deposited thereon. A first opening and a second opening are formed in the dielectric layer to respectively expose the first metal and the first pad metal. Then, the first opening is filled by W metal to generate a first via. Finally, a second metal and a second pad metal are formed to respectively cover the first via and the first pad metal to generate the metal pad.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: August 31, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Yung-Tai Hung, Jen-Chuan Pan, Chin-Ta Su, Ta-Hung Yang
  • Patent number: 7776730
    Abstract: A siloxane polymer composition includes an organic solvent in an amount of about 93 percent by weight to about 98 percent by weight, based on a total weight of the siloxane polymer composition, and a siloxane complex in an amount of about 2 percent by weight to about 7 percent by weight, based on the total weight of the siloxane polymer composition, the siloxane complex including a siloxane polymer with an introduced carboxylic acid and being represented by Formula 1 below, wherein each of R1, R2 R3, and R4 independently represents H, OH, CH3, C2H5, C3H7, C4H9 or C5H11, R? represents CH2, C2H4, C3H6, C4H8, C5H10 or C6H12, and n represents a positive integer so the siloxane polymer of the siloxane complex has a number average molecular weight of about 4,000 to about 5,000.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: August 17, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Mi Kim, Young-Ho Kim, Youn-Kyung Wang, Mi-Ra Park
  • Publication number: 20100200999
    Abstract: A semiconductor device having reliable electrode contacts. First, an interlayer dielectric film is formed from a resinous material. Then, window holes are formed. The interlayer dielectric film is recessed by oxygen plasma. This gives rise to tapering window holes. This makes it easy to make contacts even if the circuit pattern is complex.
    Type: Application
    Filed: April 21, 2010
    Publication date: August 12, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Takeshi FUKUNAGA
  • Patent number: 7772123
    Abstract: A structure and method of forming through substrate vias in forming semiconductor components are described. In one embodiment, the invention describes a method of forming the through substrate via by filling an opening with a first fill material and depositing a first insulating layer over the first fill material, the first insulating layer not being deposited on sidewalls of the fill material in the opening, wherein sidewalls of the first insulating layer form a gap over the opening. The method further includes forming a void by sealing the opening using a second insulating layer.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 10, 2010
    Assignee: Infineon Technologies AG
    Inventors: Albert Birner, Uwe Hoeckele, Thomas Kunstmann, Uwe Seidel
  • Patent number: 7763481
    Abstract: A liquid crystal display and a fabricating method thereof are provided. The liquid crystal display includes a first substrate having a pixel portion and a pad portion; a gate line and a data line crossing each other to define the pixel portion at the pixel portion; a transistor adjacent to a crossing of the gate line and the data line, the transistor including a gate electrode, a source electrode and a drain electrode; an insulating layer above the source electrode and the drain electrode, the insulating layer exposing a lateral side of the drain electrode; a pixel electrode in contact with the lateral side of the drain electrode; a second substrate attached to the first substrate; and a liquid crystal layer between the first substrate and a second substrate.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: July 27, 2010
    Assignee: LG Display Co., Ltd.
    Inventors: Joon-Young Yang, Jung-Il Lee
  • Patent number: 7759800
    Abstract: Microelectronic devices, methods for packaging microelectronic devices, and methods for forming vias and conductive interconnects in microfeature workpieces and dies are disclosed herein. In one embodiment, a method includes forming a bond-pad on a die having an integrated circuit, the bond-pad being electrically coupled to the integrated circuit. A conductive line is then formed on the die, the conductive line having a first end portion attached to the bond-pad and a second end portion spaced apart from the bond-pad. The method can further include forming a via or passage through the die, the bond-pad, and the first end portion of the conductive line, and depositing an electrically conductive material in at least a portion of the passage to form a conductive interconnect extending at least generally through the microelectronic device.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: July 20, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Sidney B. Rigg, Charles M. Watkins, Kyle K. Kirby, Peter A. Benson, Salman Akram
  • Patent number: 7749881
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case (particularly in the latter), capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive material (e.g.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: July 6, 2010
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 7750441
    Abstract: Embodiments of the invention include apparatuses and methods relating to conductive interconnects along the edges of a microelectronic device. In one embodiment, the conductive interconnect has the shape of a half cylinder.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: July 6, 2010
    Assignee: Intel Corporation
    Inventors: Rockwell M. Hsu, Thomas S. Dory
  • Patent number: 7750479
    Abstract: An interconnect structure and method of fabricating the same in which the critical dimension of the conductive features are not altered by a plasma damaged layer are provided. In accordance with the present invention, a chemically etching dielectric material is subjected to a treatment step which modifies the density of the dielectric material such that the treated surfaces become denser than the bulk dielectric not subjected to the treatment. The treatment step is performed prior to deposition of the noble metal liner.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga Shobha, Terry A. Spooner
  • Publication number: 20100167530
    Abstract: Disclosed is a method for forming a metal line of a semiconductor device. The method includes forming a first photoresist pattern on at least one interlayer dielectric provided on a semiconductor substrate, etching the interlayer dielectric using the first photoresist pattern to form a trench, removing the first photoresist pattern by ashing, and primarily removing residues left in the trench using a first cleaning solution comprising TMH, H2O2 and H2. Accordingly, copper metal lines with a great thickness of not less than 3 to 5 um can be formed, while preventing generation of voids in the process of forming the diffusion barrier and metal lines, thus advantageously improving yield and reliability of products.
    Type: Application
    Filed: December 21, 2009
    Publication date: July 1, 2010
    Inventor: Chung-Kyung Jung
  • Patent number: 7745931
    Abstract: A BGA type semiconductor device having high reliability is offered. A pad electrode is formed on a surface of a semiconductor substrate and a glass substrate is bonded to the surface of the semiconductor substrate. A via hole is formed from a back surface of the semiconductor substrate to reach a surface of the pad electrode. An insulation film is formed on an entire back surface of the semiconductor substrate including an inside of the via hole. A cushioning pad is formed on the insulation film. The insulation film is removed from a bottom portion of the via hole by etching. A wiring connected with the pad electrode is formed to extend from the via hole onto the cushioning pad. A conductive terminal is formed on the wiring. Then the semiconductor substrate is separated into a plurality of semiconductor dice.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: June 29, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Yukihiro Takao
  • Publication number: 20100155932
    Abstract: A bonded substrate comprising two semiconductor substrates is provided. Each semiconductor substrate includes semiconductor devices. At least one through substrate via is provided between the two semiconductor substrates to provide a signal path therebetween. The bottom sides of the two semiconductor substrate are bonded by at least one bonding material layer that contains a cooling mechanism. In one embodiment, the cooling mechanism is a cooling channel through which a cooling fluid flows to cool the bonded semiconductor substrate during the operation of the semiconductor devices in the bonded substrate. In another embodiment, the cooling mechanism is a conductive cooling fin with two end portions and a contiguous path therebetween. The cooling fin is connected to heat sinks to cool the bonded semiconductor substrate during the operation of the semiconductor devices in the bonded substrate.
    Type: Application
    Filed: December 24, 2008
    Publication date: June 24, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7741209
    Abstract: A method for fabricating a contact of a semiconductor device includes the steps of forming a dielectric layer having a contact hole on a semiconductor substrate, forming an outgassing barrier layer comprising a poly-silicon layer to cover at least inner walls of the contact hole in order to prevent undesired outgassing from the dielectric layer, and depositing an aluminum layer on the outgassing barrier layer. The contact structure of the semiconductor device includes the aluminum layer filled in the contact layer formed on the semiconductor substrate, and the outgassing barrier layer formed under the aluminum layer to prevent outgassing from the dielectric layer. A fine contact can be formed along with the aluminum layer, thereby realizing the contact structure of a lower contact resistance. As a result, it is possible to realize stabilization of an overall contact resistance of the semiconductor device.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: June 22, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: In Cheol Ryu
  • Patent number: 7741210
    Abstract: A method of forming conductive interconnects includes forming a node of a circuit component on a substrate. A conductive metal line is formed at a first metal routing level that is elevationally outward of the circuit component. Insulative material is deposited above the first metal routing level over the conductive metal line and the circuit component. In a common masking step, a first opening is etched through the insulative material to the conductive metal line and a second opening is etched through the insulative material to the node of the circuit component that is received elevationally inward of the conductive metal line. Conductive material is concurrently deposited to within the first and second openings in respective conductive connection with the conductive metal line and the node of the circuit component. A first metal line at a second metal routing level that is above the first metal routing level is formed in conductive connection with the conductive material in the first opening.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: June 22, 2010
    Assignee: Aptina Imaging Corporation
    Inventor: Xiaofeng Fan
  • Publication number: 20100148317
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Application
    Filed: February 24, 2010
    Publication date: June 17, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Sangheon LEE, Dae-Han CHOI, Jisoo KIM, Peter CIRIGLIANO, Zhisong HUANG, Robert CHARATAN, S.M. Reza SADJADI
  • Patent number: 7737028
    Abstract: Embodiments of the invention provide processes for selectively forming a ruthenium-containing film on a copper surface over exposed dielectric surfaces. Thereafter, a copper bulk layer may be deposited on the ruthenium-containing film. In one embodiment, a method for forming layers on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a copper-containing surface and a dielectric surface, exposing the substrate to a ruthenium precursor to selectively form a ruthenium-containing film over the copper-containing surface while leaving exposed the dielectric surface, and depositing a copper bulk layer over the ruthenium-containing film.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Rongjun Wang, Hua Chung, Jick M. Yu, Praburam Gopalraja
  • Patent number: 7737021
    Abstract: The present invention is directed to a method of forming semiconductor devices. In one illustrative embodiment, the method comprises defining a photoresist feature having a first size in a layer of photoresist that is formed above a layer of dielectric material. The method further comprises reducing the first size of the photoresist feature to produce a reduced size photoresist feature, forming an opening in the layer of dielectric material under the reduced size photoresist feature, and forming a conductive material in the opening in the layer of dielectric material.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: June 15, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Srikanteswara Dakshina-Murthy, Paul R. Besser, Jonathan B. Smith, Eric M. Apelgren, Christian Zistl, Jeremy I. Martin, Lie Larry Zhao, Nicholas John Kepler
  • Publication number: 20100144138
    Abstract: Provided are methods of forming contact structures and semiconductor devices fabricated using the contact structures. The formation of a contact structure can include forming a first molding pattern on a substrate, forming an insulating layer to cover at least a sidewall of the first molding pattern, forming a second molding pattern to cover a sidewall of the insulating layer and spaced apart from the first molding pattern, removing a portion of the insulating layer between the first and second molding patterns to form a hole, and forming an insulating pattern between the first and second molding patterns, and forming a contact pattern in the hole.
    Type: Application
    Filed: November 30, 2009
    Publication date: June 10, 2010
    Inventors: Young-Lim Park, Hyeong-Geun An, Gyu-Hwan Oh, Dong-Ho Ahn, Jin-Il Lee
  • Publication number: 20100140669
    Abstract: Exemplary embodiments provide an electrical single-crystal silicon (SCS) isolation device and a method for manufacturing the SCS isolation device. The isolation device can include a trench isolation structure formed using a trench having sidewall dielectrics and a follow-up filling of a metal or a polymer that is conductive or nonconductive. In an exemplary embodiment, metals such as a copper can be electroplated to fill the trench to provide robust mechanical support and a thermal conducting path for subsequent fabrication processes. In addition, exemplary embodiments provide a CMOS compatible process for self-packaging the disclosed isolation device or other devices from CMOS processing. In an exemplary embodiment, a backside packaging can be performed on a structured substrate prior to fabricating the active structures from the front side. Following the formation of the active structures (e.g.
    Type: Application
    Filed: November 27, 2007
    Publication date: June 10, 2010
    Inventor: Huikai Xie
  • Patent number: 7732317
    Abstract: Methods of forming a cell of a NOR-type flash memory device are provided in which a first gate pattern having a first sidewall and a second gate pattern having a second sidewall that opposes the first sidewall are formed on a semiconductor substrate. A source/drain region is formed in the semiconductor substrate between the first and second gate patterns. An etch stop layer is formed on the first and second sidewalls that defines a gap region. A dielectric layer is formed in the gap region, and is then etched to form a contact hole. Finally, a conductive material is deposited in the contact hole.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Chul Shin, Jeong-Ho Park, Jung-Young Lee, Kwang-Won Park
  • Publication number: 20100133700
    Abstract: In a sophisticated metallization system, enhanced electromigration behavior may be accomplished by incorporating electromigration barriers into metal lines after a given distance, which may be accomplished by providing an increased width in order to obtain an enhanced average grain size in the intermediate metal regions of increased lateral width. Consequently, the electromigration induced material diffusion may encounter an overall increased grain size along the entire depth of the metal lines, thereby resulting in a significantly reduced electromigration effect and thus enhanced reliability of the critical metal lines.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 3, 2010
    Inventors: Thomas Werner, Oliver Aubel, Frank Feustel
  • Patent number: 7727890
    Abstract: Disclosed are embodiments of an improved high aspect ratio electroplated metal structure (e.g., a copper or copper alloy interconnect, such as a back end of the line (BEOL) or middle of the line (MOL) contact) in which the electroplated metal fill material is free from seams and/or voids. Also, disclosed are embodiments of a method of forming such an electroplated metal structure by lining a high aspect ratio opening (e.g., a high aspect ratio via or trench) with a metal-plating seed layer and, then, forming a protective layer over the portion of the metal-plating seed layer adjacent to the opening sidewalls so that subsequent electroplating occurs only from the bottom surface of the opening up.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: June 1, 2010
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Keith Kwong Hon Wong, Chih-Chao Yang, Haining S. Yang
  • Publication number: 20100127394
    Abstract: Through substrate vias for back-side electrical and thermal interconnections on very thin semiconductor wafers without loss of wafer mechanical strength during manufacturing are provided by: forming (101) desired device regions (21) with contacts (22) on the front surface (19) of an initially relatively thick wafer (18?); etching (104) via cavities (29) partly through the wafer (18?) in the desired locations; filling (105) the via cavities (29) with a conductive material (32) coupled to some device region contacts (22); mounting (106) the wafer (18?) with its front side (35) facing a support structure (40); thinning (107) the wafer (18?) from the back side (181) to expose internal ends (3210, 3220, 3230, 3240, etc.) of the conductive material filled vias (321, 322, 323, 324, etc.); applying (108) any desired back-side interconnect region (44) coupled to the exposed ends (3210, 3220, 3230, 3240, etc.
    Type: Application
    Filed: November 25, 2008
    Publication date: May 27, 2010
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Chandrasekaram Ramiah, Douglas G. Mitchell, Michael F. Petras, Paul W. Sanders
  • Patent number: 7723185
    Abstract: A flash memory device where the floating gate of the flash memory is defined by a recessed access device. The use of a recessed access device results in a longer channel length with less loss of device density. The floating gate can also be elevated above the substrate a selected amount so as to achieve a desirable coupling between the substrate, the floating gate and the control gate incorporating the flash cell.
    Type: Grant
    Filed: March 10, 2008
    Date of Patent: May 25, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Todd Abbott
  • Patent number: 7723229
    Abstract: A process is implemented to form a contact opening in a semiconductor device that includes a gate electrode on a substrate, a spacer on a sidewall of the gate electrode and a dielectric material covering the gate electrode. The process comprises forming a photoresist pattern on a surface of the dielectric material, etching the dielectric material until the bottom liner layer is exposed, forming a protective layer on a sidewall of the spacer while etching the dielectric material, and etching the bottom liner layer.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: May 25, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: An Chyi Wei, Chung Tai Chen
  • Patent number: 7723159
    Abstract: A semiconductor package-on-package (PoP) device includes a first die incorporating a through-hole via (THV) disposed along a peripheral surface of the first die. The first die is disposed over a substrate or leadframe structure. A first semiconductor package is electrically connected to the THV of the first die, or electrically connected to the substrate or leadframe structure. An encapsulant is formed over a portion of the first die and the first semiconductor package.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: May 25, 2010
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Byung Tai Do, Heap Hoe Kuan, Seng Guan Chow
  • Patent number: 7713873
    Abstract: Methods of forming a contact structure in a semiconductor device include providing a semiconductor substrate including active regions and word lines crossing the active regions. A first interlayer dielectric layer is formed on the semiconductor substrate. Direct contact plugs are formed extending through the first interlayer dielectric layer to contact selected ones of the active regions. Bit line structures are formed on the first interlayer dielectric layer and crossing the word lines that are coupled to the selected ones of the active regions by the direct contact plugs. A second interlayer dielectric layer is formed on the semiconductor substrate including the bit line structures. Barrier patterns are formed extending in parallel with bit line structures and into the second interlayer dielectric layer. Mask patterns are formed overlying an entirety of top surfaces of the direct contact plugs on the second interlayer dielectric layer and the bit line structures.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: May 11, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Goo Kim, Hyeong-Sun Hong, Dong-Hyun Kim, Nam-Jung Kang
  • Publication number: 20100112813
    Abstract: A manufacturing method for a semiconductor device, including: forming a metallic layer and an interlayer insulation film on a semiconductor substrate sequentially; etching on the interlayer insulation film using fluorine-based etching gas to form an opening portion of a predetermined pattern, reaching the metallic layer; and supplying chlorine-based silane gas and discharging, thus forming a Si film at least on an internal surface of the opening portion without exposure to the atmosphere after the etching.
    Type: Application
    Filed: November 5, 2009
    Publication date: May 6, 2010
    Inventor: Akihiro TAKASE
  • Patent number: 7709374
    Abstract: The invention provides a method for fabricating a memory device. At first, a substrate having a plurality of gate electrode stacks and a source/drain region is provided, and a barrier layer and a sacrificial layer are sequentially formed on the substrate and cover the gate electrode stacks. A portion of the sacrificial layer is removed to form a sacrificial plug between the gate electrode stacks, and then a filling layer is formed over the substrate. Next, the sacrificial plug is removed, and a contact hole is formed. A clean step with a solution containing ammonia is carried out. The barrier layer at the bottom of the contact hole is removed, and a metal plug is then formed in the contact hole to electrically contact with the source/drain region.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: May 4, 2010
    Assignee: Inotera Memories, Inc.
    Inventors: Wen-Hsiang Chen, Hsin-Yu Hsiao
  • Publication number: 20100105169
    Abstract: A semiconductor device includes a semiconductor substrate and a via electrode. The via electrode has a first portion on the substrate and extends towards the substrate and has a plurality of spikes that extends from the first portion into the substrate, each of the spikes being spaced apart form one another.
    Type: Application
    Filed: August 18, 2009
    Publication date: April 29, 2010
    Inventors: Ho-jin Lee, Hyun-soo Chung, Chang-seong Jeon, Sang-sick Park, Jae-hyun Phee
  • Publication number: 20100105202
    Abstract: A method of forming an interconnect structure in a semiconductor device in which via holes (62) defined in a dielectric layer are filled with a filler material (64), such as a porogen material, before a further dielectric layer (66) is deposited thereover. Trenches (72) are formed in the further dielectric layer and then the filler material exposed thereby in the via holes is removed. The method provides a robust process which affords improved via and trench profile control.
    Type: Application
    Filed: October 5, 2007
    Publication date: April 29, 2010
    Applicant: NXP, B.V.
    Inventor: Roel Daamen
  • Patent number: 7705464
    Abstract: The present disclosure relates generally to the manufacturing of semiconductor devices, and more particularly to an improved connection structure for semiconductor devices. A connection structure for a semiconductor device includes: a peanut-shaped opening comprising a narrow area and one or more wide areas, wherein the narrow area is between two of the one or more wide areas; and a conductive plug for filling at least partially the peanut-shaped opening.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jhon Jhy Liaw, Sung-Chun Hsieh, Wesley Lin, Chii-Ming W Wu, Ren-Fen Tsui
  • Patent number: 7704796
    Abstract: A semiconductor die has an insulating material disposed in a peripheral region around the die. A blind via is formed through the gap. A conductive material is deposited in the blind via to form a conductive via. A conductive layer is formed between the conductive via and contact pad on the semiconductor die. A protective layer is formed over the front side of the semiconductor die. A portion of the insulating material and conductive via is removed from a backside of the semiconductor die opposite the front side of the semiconductor die so that a thickness of the conductive via is less than a thickness of the semiconductor wafer. The insulating material and conductive via are tapered. The wafer is singulated through the gap to separate the semiconductor die. A plurality of semiconductor die can be stacked and electrically interconnected through the conductive vias.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: April 27, 2010
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Reza A. Pagaila, Byung Tai Do, Heap Hoe Kuan
  • Publication number: 20100099254
    Abstract: A semiconductor manufacturing apparatus, when a barrier film and a copper film are formed along a recess in an insulating film by using an alloy layer of copper and addictive metal, e.g., Mn, and copper wiring is embedded therein, reduces Mn in the copper film to suppress an increase in wiring resistance. A vacuum transfer module is connected, through a load lock chamber, to a loader module for transferring a wafer with respect to a carrier. A formic acid treatment module supplying formic acid vapor as an organic acid to the wafer and a module forming a film of Cu, e.g., by CVD are connected to the vacuum transfer module to configure an apparatus manufacturing a semiconductor. The wafer W subjected to alloy layer formation and then, e.g., to annealing is transferred into the apparatus, and treatment with formic acid is performed followed by Cu film formation.
    Type: Application
    Filed: October 1, 2007
    Publication date: April 22, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Masaki Narushima, Yasuhiko Kojima
  • Patent number: 7701060
    Abstract: There are provided with a wiring structure and a method for manufacturing the same wherein in a wiring structure of multi-layered wiring in which a metal wiring is formed on a substrate forming a semiconductor element thereby obtaining connection of the element, no damage to insulation property between the abutting wirings by occurrence of leakage current and no deterioration of insulation resistance property between the abutting wirings are achieved in case that fine metal wiring is formed in a porous insulation film. The insulation barrier layer 413 is formed between an interlayer insulation film and the metal wiring, in the metal wiring structure on the substrate forming the semiconductor element. The insulation barrier layer enables to reduce leakage current between the abutting wirings and to elevate the insulation credibility.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: April 20, 2010
    Assignees: NEC Corporation, NEC Electronics Corporation
    Inventors: Munehiro Tada, Yoshihiro Hayashi, Yoshimichi Harada, Fuminori Ito, Hiroto Ohtake, Tatsuya Usami
  • Patent number: 7700478
    Abstract: The present teachings and illustrations describe a process for forming a plurality of conductive structures in or on a substrate. In one embodiment, the process comprises forming a plurality of recesses in or on the substrate, wherein the plurality of recesses include recesses having different dimensions. In addition, the process further comprises (i) forming a conductive layer which at least partially fills the plurality of recesses and (ii) treating the conductive layer to improve the conductive properties of the conductive layer. Moreover, the process still further comprises (iii) sequentially repeating acts (i) and (ii) until each of the recesses of the plurality of recesses are filled to a desired dimension and such that the conductive material in the recesses of smaller dimension are more uniformly adhered to the bottom surfaces of the recesses.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: April 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Dale W. Collins
  • Patent number: 7701064
    Abstract: A first through via is electrically insulated from surrounding wafer substrate material. A second through via is not electrically insulated from the surrounding wafer substrate material. This configuration is advantageous when the non-insulated via serves as the path for either Vdd or GND. By not insulating the through via, a first supply voltage (Vdd or GND) is allowed to flow through the surrounding wafer substrate material thereby decreasing the resistance of the first supply voltage path.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Todd Alan Christensen, John Edward Sheets, II
  • Patent number: 7696087
    Abstract: In a method of forming a dual damascene pattern of a semiconductor device, horns that occur while forming a trench constituting the dual damascene pattern are removed in an intermediate process of forming the trench. Thus, the source of particles, which occur due to the horns in a cleaning process performed after the dual damascene pattern is formed, may be removed. Accordingly, an increase of contact resistance due to particles may be prevented, and a reduction in the yield of semiconductor devices may also be improved.
    Type: Grant
    Filed: June 28, 2008
    Date of Patent: April 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Patent number: 7692301
    Abstract: A method for forming a via in an integrated circuit packaging substrate includes embedding an interfacial adhesion layer at a base of a via, and heating the materials at the base of the via. Embedding the interfacial adhesion layer further includes placing a conductive material over the interfacial adhesion layer. An interfacial layer material is deposited within at the base of opening and a conductive material is placed over the interfacial material. The interfacial layer material is a material that will diffuse into the conductive material at the temperature produced by heating the materials at the base of the via opening. Heating the materials at the base of the via opening includes directing energy from a laser at the base of the opening. An integrated circuit packaging substrate includes a first layer of conductive material, and a second layer of conductive material.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: April 6, 2010
    Assignee: Intel Corporation
    Inventors: Kum Foo Leong, Chee Key Chung, Kian Sin Sim
  • Patent number: 7687910
    Abstract: A semiconductor device according to an embodiment of the present invention includes a semiconductor substrate; a wiring formed in predetermined pattern above the semiconductor substrate, a first insulating film lying right under the wiring, and a second insulating film lying in a peripheral portion other than a portion right under the wiring, in which a surface layer of the first insulating film lying in a boundary surface between the first insulating film and the second insulating film is chemically modified to reinforce the surface layer.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: March 30, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumichi Tsumura, Masaki Yamada
  • Patent number: 7687395
    Abstract: A semiconductor structure includes a semiconductor device including a contact region. The semiconductor structure also includes a passivation layer passivating the semiconductor device including the contact region. A narrow bottomed stepped sidewall contact aperture is located within the passivation layer to expose the contact region. A corresponding narrow bottomed stepped sidewall contact via is located within the narrow bottomed stepped sidewall contact aperture to contact the contact region. The narrow bottomed stepped sidewall contact aperture and contact via provide for improved contact to the contact region and reduced parasitic capacitance with respect to the semiconductor device. Methods for fabricating the narrow bottomed stepped sidewall contact aperture use a mask layer (either dimensionally diminished or dimensionally augmented) in conjunction with a two step etch method.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Wai-Kin Li
  • Publication number: 20100072629
    Abstract: A wiring structure, a semiconductor device having the structure, and a method for manufacturing the semiconductor device are disclosed. The wiring structure includes a first metal layer, a second metal layer on the first metal layer, an insulating layer between the first metal layer and the second metal layer, and a metal via pattern formed in the insulating layer to electrically connect the first and second metal layers to each other. The metal via pattern includes a plurality of metal vias spaced apart from one another, and each of the metal vias includes a vertical via line extending in a vertical direction and a horizontal via line extending in a horizontal direction to cross the vertical via line. The wiring structure may achieve minimized chip defects, fewer cracks in the insulating layer, effective use of the occupation area of a semiconductor chip, and reduced chip size and manufacturing costs.
    Type: Application
    Filed: September 17, 2009
    Publication date: March 25, 2010
    Inventor: Min Hyung LEE
  • Patent number: 7682964
    Abstract: A semiconductor device includes a semiconductor substrate, a lower conductive layer formed over the semiconductor substrate, an intermediate insulating layer formed over the lower conductive layer and an upper conductive layer formed over the intermediate insulating layer. The upper conductive layer crosses the lower conductive layer. The semiconductor device also includes a contact hole formed at a crossing portion of the lower conductive layer and the upper conductive layer. The contact hole is formed in the intermediate insulating layer. An aspect ratio of the contact hole is greater than 0.6.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: March 23, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kazusuke Kato
  • Patent number: 7678690
    Abstract: By providing additional etch stop layers and/or etch protection layers, a corresponding etch process for forming contact openings for directly connecting polysilicon lines and active areas may be controlled in a highly reliable manner. Consequently, conductive line erosion and/or penetration into extension regions may be significantly reduced, thereby improving the reliability and performance of corresponding semiconductor devices.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: March 16, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf Richter, Carsten Peters, Heike Salz, Matthias Schaller
  • Patent number: 7678704
    Abstract: To form a semiconductor device, an insulating layer is formed over a conductive region and a pattern transfer layer is formed over the insulating layer. The pattern transfer layer is patterned in the reverse tone of a layout of recesses to be formed in the insulating layer such that the pattern transfer layer remains over regions where the recesses are to be formed. A mask material is formed over the insulating layer and is aligned with the pattern transfer layer. Remaining portions of the pattern transfer layer are removed and recesses are etched in the insulating layer using the mask material as a mask.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: March 16, 2010
    Assignee: Infineon Technologies AG
    Inventors: Veit Klee, Roman Knoefler, Uwe Paul Schroeder
  • Patent number: 7678691
    Abstract: A semiconductor device and fabrication process wherein the device includes a conductive layer with a localized thick region positioned below the contact hole. In one embodiment of the invention, the thick region to which contact is made is formed by means of an opening in an underlayer of material. This embodiment of the device includes an underlayer of material having an opening therein; a layer of thin conductive material formed on the underlayer and in the opening; and overlayer of material having a contact hole therethrough formed on the layer of thin conductive material; a conductor contacting the layer of thin conductive material through the contact hole; and wherein the opening in the underlayer is positioned below the contact hole and sized and shaped to form a localized thick region in the layer of thin conductive material within the opening.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: March 16, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Howard E. Rhodes, Luan Tran