By Forming Via Holes (epo) Patents (Class 257/E21.577)
  • Patent number: 7902656
    Abstract: A hybrid integrated circuit device having high mount reliability comprises a module substrate which is a ceramic wiring substrate, a plurality of electronic component parts laid out on the main surface of the module substrate, a plurality of electrode terminals laid out on the rear surface of the module substrate, and a cap which is fixed to the module substrate to cover the main surface of the module substrate. The electrode terminals include a plurality of electrode terminals which are aligned along the edges of the module substrate and power voltage supply terminals which are located inner than these electrode terminals. The electrode terminals aligned along the substrate edges are coated, at least in their portions close to the substrate edge, with a protection film having a thickness of several tens micrometers or less. Connection reinforcing terminals consist of a plurality of divided terminals which are independent of each other, and are ground terminals.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: March 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Shinji Moriyama, Tomio Yamada
  • Patent number: 7902638
    Abstract: A semiconductor wafer contains a plurality of die with contact pads disposed on a first surface of each die. Metal vias are formed in trenches in the saw street guides and are surrounded by organic material. Traces connect the contact pads and metal vias. The metal vias can be half-circle vias or full-circle vias. Metal vias are also formed through the contact pads on the active area of the die. Redistribution layers (RDL) are formed on a second surface of the die opposite the first surface. Repassivation layers are formed between the RDL for electrical isolation. The die are stackable and can be placed in a semiconductor package with other die. The vias through the saw streets and vias through the active area of the die, as well as the RDL, provide electrical interconnect to the adjacent die.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: March 8, 2011
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Byung Tai Do, Heap Hoe Kuan, Linda Pei Ee Chua
  • Patent number: 7902066
    Abstract: Interconnects for integrated circuits, such as damascene structures are formed using a hard mask. The hard mask is formed from, for example, high-k dielectric material such as hafnium oxide or other materials having high etch selectivity to the interconnect dielectric material. This enables a thin mask to etch vias and trenches in the interconnect dielectric layer, avoiding the problems associated with the use of thick mask layers, such as contact hole striations and small depth of focus, which can result in shorts or opens.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: March 8, 2011
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jian Hui Ye, Mei Sheng Zhou
  • Publication number: 20110049713
    Abstract: Contact elements of sophisticated semiconductor devices may be formed for gate electrode structures and for drain and source regions in separate process sequences in order to apply electroless plating techniques without causing undue overfill of one type of contact opening. Consequently, superior process uniformity in combination with a reduced overall contact resistance may be accomplished. In some illustrative embodiments, cobalt may be used as a contact metal without any additional conductive barrier materials.
    Type: Application
    Filed: August 11, 2010
    Publication date: March 3, 2011
    Inventors: Kai Frohberg, Juergen Boemmels, Matthias Schaller, Sven Mueller
  • Patent number: 7897511
    Abstract: A method of manufacturing a semiconductor device includes forming an integrated circuit region on a semiconductor wafer. A first metal layer pattern is formed over the integrated circuit region. A via hole is formed to extend through the first metal layer pattern and the integrated circuit region. A final metal layer pattern is formed over the first metal layer pattern and within the via hole. A plug is formed within the via hole. Thereafter, a passivation layer is formed to overlie the final metal layer pattern.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: March 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Young Lee, Ho-Jin Lee, Hyun-Soo Chung, Ju-Il Choi, Son-Kwan Hwang
  • Publication number: 20110042821
    Abstract: Through vias and conductive routing layers in semiconductor substrates and associated methods of manufacturing are disclosed herein. In one embodiment, a method for processing a semiconductor substrate includes forming an aperture in a semiconductor substrate and through a dielectric on the semiconductor substrate. The aperture has a first end open at the dielectric and a second end opposite the first end. The method can also include forming a plurality of depressions in the dielectric, and simultaneously depositing a conductive material into the aperture and at least some of the depressions.
    Type: Application
    Filed: August 21, 2009
    Publication date: February 24, 2011
    Applicant: Micron Technology, Inc.
    Inventors: Kyle K. Kirby, Sarah A. Niroumand
  • Patent number: 7892973
    Abstract: A falling off of a through electrode is inhibited without decreasing a reliability of a semiconductor device including a through electrode. A semiconductor device 100 includes: a silicon substrate 101; a through electrode 129 extending through the silicon substrate 101; and a first insulating ring 130 provided in a circumference of a side surface of the through electrode 129 and extending through the semiconductor substrate 101. In addition, the semiconductor device 100 also includes a protruding portion 146, being provided at least in the vicinity of a back surface of a device-forming surface of the semiconductor substrate 101 so as to contact with the through electrode 129, and protruding in a direction along the surface of the semiconductor substrate 101 toward an interior of the through electrode 129.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: February 22, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masaya Kawano, Koji Soejima, Nobuaki Takahashi
  • Patent number: 7892972
    Abstract: Methods for forming conductive vias include forming one or more via holes in a substrate. The via holes may be formed with a single mask, with protective layers, bond pads, or other features of the substrate acting as hard masks in the event that a photomask is removed during etching processes. The via holes may be configured to facilitate adhesion of a dielectric coating that includes a low-K dielectric material to the surfaces thereof. A barrier layer may be formed over surfaces of each via hole. A base layer, which may comprise a seed material, may be formed to facilitate the subsequent, selective deposition of conductive material over the surfaces of the via hole. The resulting semiconductor devices, intermediate structures, and assemblies and electronic devices that include the semiconductor devices that result from these methods are also disclosed.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: February 22, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, William Mark Hiatt, Steve Oliver, Alan G. Wood, Sidney B. Rigg, James M. Wark, Kyle K. Kirby
  • Patent number: 7892967
    Abstract: A semiconductor device and a method for manufacturing the same include forming a second copper-plated layer over a second IMD layer and inside a second aperture formed in the second IMD by an electroplating process that uses the exposed first copper-plated layer as a seed layer. With the method, the copper-plated layer may be more simply and rapidly formed and achieve superior gap filling characteristics.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: February 22, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Byung-Ho Lee
  • Patent number: 7892969
    Abstract: A method of manufacturing a semiconductor device has forming a first nitride layer over a substrate, forming a first oxide layer on the first nitride layer, forming a second nitride layer on the first oxide layer, forming a photoresist layer over the second nitride layer, forming a opening in the photoresist layer, etching the second nitride layer using the photoresist layer as a mask such that the opening is reached to the first oxide layer, etching the first oxide layer using the second nitride layer as a mask such that the opening is reached to the first nitride layer, etching the first oxide layer such that bottom zone of the opening is increased in diameter, and etching the first nitride layer using the first oxide layer as a mask such that the opening is reached to the substrate thereby to form contact hole reaching to the substrate.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: February 22, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masanori Tsutsumi, Jusuke Ogura
  • Publication number: 20110039409
    Abstract: A semiconductor device includes a capacitor including a lower electrode and a upper electrode, and a capacitive film formed therebetween; a first via group including one or more first vias which is electrically connected to the lower electrode; and a second via group including one or more second vias which is electrically connected to the upper electrode and formed simultaneously with the first via group. The semiconductor device is designed by a method including a step of setting the number of the first vias and the second vias so that a value obtained by dividing a capacitance value of the capacitor by the total number of the first vias and the second vias included in the first via group and the second via group is set to be equal to or less than a predetermined value.
    Type: Application
    Filed: July 13, 2010
    Publication date: February 17, 2011
    Inventor: Naoshi ARIKAWA
  • Patent number: 7886437
    Abstract: A method of forming an isolated electrically conductive contact through a metal substrate by creating at least one via through the substrate. The at least one sidewall of the via is cleaned and coated with a non-conductive layer. In one example, the non-conductive layer is formed by anodizing the sidewall(s) of the via. In another example, the non-conductive layer may be formed by thin film deposition of a dielectric on the sidewall(s). An electrically conductive filler is then placed into the via. In the examples disclosed, the filler may be a conductive ink or a conductive epoxy.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: February 15, 2011
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Michael Nashner, Jeff Howerton
  • Patent number: 7884019
    Abstract: A method of forming a dual-damascene structure is disclosed. A lower dielectric hardmask layer and an upper dielectric hardmask layer are deposited on an ultra low-k film. A first via is formed in the upper hardmask layer. Next, a first trench is formed using the tri-layer resist scheme. Finally, a full via and a full trench are formed simultaneously. An optional etch-stop layer can be used in the ultra low-k layer to control trench depth.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: February 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, William W. Dostalik, Yong Seok Choi
  • Patent number: 7884012
    Abstract: A method is provided for void-free copper (Cu) filling of recessed features in a semiconductor device. The method includes providing a patterned substrate containing a recessed feature, depositing a barrier film on the patterned substrate, including in the recessed feature, depositing a Ru metal film on the barrier film, and depositing a discontinuous Cu seed layer on the Ru metal film, where the Cu seed layer partially covers the Ru metal film in the recessed feature. The method further includes exposing the substrate to an oxidation source gas that oxidizes the Cu seed layer and the portion of the Ru metal film not covered by the Cu seed layer, heat-treating the oxidized Cu seed layer and the oxidized Ru metal film under high vacuum conditions or in the presence of an inert gas to activate the oxidized Ru metal film for Cu plating, and filling the recessed feature with bulk Cu metal.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Tadahiro Ishizaka, Miho Jomen, Jonathan Rullan
  • Patent number: 7884010
    Abstract: A wiring structure has a silicon layer, a backing layer provided on the silicon layer, the backing layer comprising a copper alloy containing a manganese, and a copper layer provided on the backing layer, and a diffusion barrier layer having an electrical conductivity, the diffusion barrier layer being provided at a region including an interface between the silicon layer and the backing layer, in which a manganese in the diffusion barrier layer is enriched compared with the backing layer.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: February 8, 2011
    Assignee: Hitachi Cable, Ltd.
    Inventors: Noriyuki Tatsumi, Tatsuya Tonogi
  • Publication number: 20110019372
    Abstract: Partitioned vias, interconnects, and substrates that include such vias and interconnects are disclosed herein. In one embodiment, a substrate has a non-conductive layer and a partitioned via formed in a portion of the non-conductive layer. The non-conductive layer includes a top side, a bottom side, and a via hole extending between the top and bottom sides and including a sidewall having a first section a second section. The partitioned via includes a first metal interconnect within the via on the first section of the sidewall and a second metal interconnect within the via hole on the second section of the sidewall and electrically isolated from the first metal interconnect. In another embodiment, the first metal interconnect is separated from the second metal interconnect by a gap within the via hole.
    Type: Application
    Filed: October 6, 2010
    Publication date: January 27, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Lee Teck Kheng
  • Patent number: 7875550
    Abstract: Disclosed are embodiments of a semiconductor structure with a partially selfaligned contact in lower portion of the contact is enlarged to reduce resistance without impacting device yield. Additionally, the structure optionally incorporates a thick middle-of-the-line (MOL) nitride stress film to enhance carrier mobility. Embodiments of the method of forming the structure comprise forming a sacrificial section in the intended location of the contact. This section is patterned so that it is self-aligned to the gate electrodes and only occupies space that is intended for the future contact. Dielectric layer(s) (e.g., an optional stress layer followed by an interlayer dielectric) may be deposited once the sacrificial section is in place. Conventional contact lithography is used to etch a contact hole through the dielectric layer(s) to the sacrificial section. The sacrificial section is then selectively removed to form a cavity and the contact is formed in the cavity and contact hole.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: January 25, 2011
    Assignee: International Business Machines Corporation
    Inventors: Gregory Costrini, David M. Fried
  • Patent number: 7875551
    Abstract: Methods of forming integrated circuit devices include upper sidewall spacers in contact holes to provide enhanced electrical isolation to contact plugs therein while maintaining relatively low contact resistance. These methods include forming an interlayer insulating layer on a semiconductor substrate. The interlayer insulating layer includes at least a first electrically insulating layer of a first material on the semiconductor substrate and a second electrically insulating layer of a second material on the first electrically insulating layer. A contact hole is formed that extends through the interlayer insulating layer and exposes a primary surface of the semiconductor substrate. This contact hole may be formed by selectively etching the second electrically insulating layer and the first electrically insulating layer in sequence and at a faster etch rate of the first material relative to the second material.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: January 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-young Lee, Sang-sup Jeong, Sung-gil Choi, Jong-chul Park, Jin-young Kim, Ki-jin Park
  • Publication number: 20110012268
    Abstract: After opening a via hole, the bottom portion and the top portion are rounded by etching performed twice. As a result, resistance of the via hole can be reduced and its quality and life can be enhanced.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 20, 2011
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: YASUAKI OZAKI, TOORU MASUTOMO, TAKAHIRO MATSUDA, YOSHITAKE TOKUMINE
  • Patent number: 7867874
    Abstract: A hermetically sealed package includes a lid (14) hermetically bonded to a wafer or substrate (12), with a chamber therebetween defined by a recess (16) in the lid. A circuit device (26) such as MEMS device is provided within the chamber on the substrate. A plurality of vias (41-46) are provided through the substrate, and each have a structure which facilitates a hermetic seal of a suitable level between opposite sides of the substrate. The vias provide electrical communication from externally of the assembly to the device disposed in the chamber.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: January 11, 2011
    Assignee: Raytheon Company
    Inventors: Billy D. Ables, John C. Ehmke, Roland W. Gooch
  • Patent number: 7867870
    Abstract: A device isolation film in a semiconductor device and a method for forming the same are provided. The method includes etching a middle portion of a device isolation film having a deposition structure including a Spin-On-Dielectric (SOD) oxide film and a High Density Plasma (HDP) oxide film to form a hole and filling an upper portion of the hole with an oxide film having poor step coverage characteristics to form a second hole extending along the middle portion of the device isolation film. The second hole serves as a buffer for stress generated at the interface between an oxide film, which can be a device isolation film, and a silicon layer, which can be a semiconductor substrate, thereby increasing the operating current of a transistor and improving the electrical characteristics of the resulting device.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Bong Jang
  • Publication number: 20110001247
    Abstract: A semiconductor device manufacturing method comprises bonding a semiconductor element onto one surface of a first protective film via an adhesive layer, an electrode being formed in the semiconductor element, the first protective film being disposed on a first base material and including a first via hole, removing the first base material from the first protective film, applying first laser light to the adhesive layer through the first via hole to form a second via hole in the adhesive layer so that the electrode is exposed through the adhesive layer, and forming a metal layer in the second via hole to connect the metal layer to the electrode.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 6, 2011
    Applicant: Casio Computer Co., Ltd.
    Inventor: Hiroyasu JOBETTO
  • Publication number: 20110003472
    Abstract: A wiring substrate for mounting semiconductors is provided with an insulation film, wires formed in the insulation film, and a plurality of electrode pads that electrically connect to the wires through vias. The electrode pads are provided to have their surfaces exposed to both of the front surface and the rear surface of the insulation film, and at least a part of the side surface of the electrode pads is buried in the insulation film. The insulation film is formed by forming electrode pads on the respective two metallic plates, thereafter, laminating an insulation layer and wires on the respective metallic plates to cover the electrode pad, and adhering the insulation layers to each other for integration, and thereafter, removing the metallic plates.
    Type: Application
    Filed: September 15, 2010
    Publication date: January 6, 2011
    Applicants: NEC CORPORATION, NEC ELECTRONICS CORPORATION
    Inventors: Hideya MURAI, Tadanori SHIMOTO, Takuo FUNAYA, Katsumi KIKUCHI, Shintaro YAMAMICHI, Kazuhiro BABA, Hirokazu HONDA, Keiichiro KATA, Kouji MATSUI, Shinichi MIYAZAKI
  • Publication number: 20100330811
    Abstract: An improved method of forming a via hole is provided. This method makes it possible to form a via hole having a highly accurate processed shape in an insulating body. The insulating body has a multi-layer structure made of different kinds of insulating layers. The insulating body has, for example, a first insulating layer and a second insulating layer on the first insulating layer. The first insulating layer is provided on a lower wiring layer. The method includes a step of forming a first through hole in the second insulating layer by dry etching. The first through hole reaches the first insulating layer. The side wall of the first through hole defines an exposed portion of the second insulating layer. The bottom of the first through hole defines an exposed portion of the first insulating layer.
    Type: Application
    Filed: June 28, 2010
    Publication date: December 30, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventor: Takeshi Nagao
  • Publication number: 20100330805
    Abstract: Methods for forming anisotropic features for high aspect ratio application in etch process are provided. The methods described herein advantageously facilitates profile and dimension control of features with high aspect ratios. In one embodiment, a method for anisotropic etching a dielectric layer on a substrate includes providing a substrate having a patterned mask layer disposed on a dielectric layer in an etch chamber, supplying a gas mixture including at least a fluorine and carbon containing gas and a silicon fluorine gas into the etch chamber, and etching features in the dielectric layer in the presence of a plasma formed from the gas mixture.
    Type: Application
    Filed: November 2, 2007
    Publication date: December 30, 2010
    Inventors: KENNY LINH DOAN, Kathryn Keswick, Subhash Deshmukh, Stephan Wege, Wonseok Lee
  • Publication number: 20100330806
    Abstract: One embodiment of the invention provides a method of forming a plurality of contact holes, including forming a first feature and a second feature over an underlying material, forming sidewall spacers on the first and second features, removing the first and second features without removing the sidewall spacers, forming a cover mask at least partially exposing the sidewall spacers, and etching the underlying material using the cover mask and the sidewall spacers as a mask to form the plurality of contact holes.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 30, 2010
    Inventors: Chun-Ming Wang, Chenche Huang, Masaaki Higashitani
  • Publication number: 20100320605
    Abstract: Provided are a semiconductor device and a method of fabricating the semiconductor memory device. A contact plug is formed by wet etching. An aspect ratio of SAC is decreased and SAC fail is reduced so that a process margin is secured. The semiconductor device includes a semiconductor substrate comprising an active region and a device isolation layer defining the active region, a conductive pattern formed on the semiconductor substrate, and a nitride layer formed on the semiconductor substrate perpendicularly to the conductive pattern.
    Type: Application
    Filed: June 30, 2009
    Publication date: December 23, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventor: Chang Youn HWANG
  • Publication number: 20100320608
    Abstract: Edges of a first conductive layer (104) and a silicate glass layer (106) extend adjacent one another along a via (164) extending to a semiconductor substrate (41). An electrical conductor (112/114) extends through the via (164) into contact with the semiconductor substrate (41).
    Type: Application
    Filed: February 28, 2008
    Publication date: December 23, 2010
    Inventors: Gregory N. Burton, Paul I. Mikulan
  • Publication number: 20100323478
    Abstract: A method for fabricating through-silicon via structure is disclosed. The method includes the steps of: providing a semiconductor substrate; forming at least one semiconductor device on surface of the semiconductor substrate; forming a dielectric layer on the semiconductor device, in which the dielectric layer includes at least one via hole; forming a first conductive layer on the dielectric layer and filling the via hole; performing an etching process to form a through-silicon via in the first conductive layer, the dielectric layer, and the semiconductor substrate; depositing a second conductive layer in the through-silicon via and partially on the first conductive layer; and planarizing a portion of the second conductive layer until reaching the surface of the first conductive layer.
    Type: Application
    Filed: June 19, 2009
    Publication date: December 23, 2010
    Inventor: Chien-Li Kuo
  • Publication number: 20100314778
    Abstract: In forming a semiconductor device, an insulation layer is formed on top of a semiconductor chip having a plurality of external terminals. A plurality of interconnections is formed on the insulating layer. External terminals are electrically connected to coordinated interconnections through a plurality of vias formed in the insulation layer. The interconnections are each formed integral with a via conduction part which covers the entire surfaces of the bottom and the sidewall sections of the via. The interconnection is formed so as to be narrower in its region overlying the via than the upper via diameter.
    Type: Application
    Filed: February 6, 2009
    Publication date: December 16, 2010
    Applicants: NEC CORPORATION, RENESAS ELECTRONICS CORPORATION
    Inventors: Hideya Murai, Kentaro Mori, Shintaro Yamamichi, Masaya Kawano, Kouji Soejima
  • Patent number: 7851350
    Abstract: The present invention relates to a semiconductor device and a method of forming a contact plug of a semiconductor device. According to the method, a first dielectric layer is formed on a semiconductor substrate in which junction regions are formed. A hard mask is formed on the first dielectric layer. The hard mask and the first dielectric layer corresponding to the junction regions are etched to form trenches. Spacers are formed on sidewalls of the trenches. Contact holes are formed in the first dielectric layer using an etch process employing the spacers and the hard mask so that the junction regions are exposed. The contact holes are gap filled with a conductive material, thus forming contact plugs. Accordingly, bit lines can be easily formed on the contact plugs formed at narrow spaces with a high density.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: December 14, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Whee Won Cho, Jung Geun Kim, Eun Soo Kim
  • Patent number: 7851357
    Abstract: A contact metallurgy structure comprising a patterned dielectric layer having cavities on a substrate; a silicide or germanide layer such as of cobalt and/or nickel located at the bottom of cavities; a contact layer comprising Ti or Ti/TiN located on top of the dielectric layer and inside the cavities and making contact to the silicide or germanide layer on the bottom; a diffusion barrier layer located on top of the contact layer and inside the cavities; optionally a seed layer for plating located on top of the barrier layer; a metal fill layer in vias is provided along with a method of fabrication. The metal fill layer is electrodeposited with at least one member selected from the group consisting of copper, rhodium, ruthenium, iridium, molybdenum, gold, silver, nickel, cobalt, silver, gold, cadmium and zinc and alloys thereof. When the metal fill layer is rhodium, ruthenium, or iridium, an effective diffusion barrier layer is not required between the fill metal and the dielectric.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: December 14, 2010
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Lili Deligianni, Randolph F. Knarr, Sandra G. Malhotra, Stephen Rossnagel, Xiaoyan Shao, Anna Topol, Philippe M. Vereecken
  • Patent number: 7847405
    Abstract: In one aspect of the present invention, a semiconductor device may include an inter-wiring dielectric film in which a wiring trench is formed, a metal wiring layer formed in the wiring trench in the inter-wiring dielectric film, a first barrier layer formed on a side surface of the wiring trench, the first barrier layer being an oxide film made from a metal different from a main constituent metal element in the wiring layer, a second barrier layer formed on a side surface of the wiring layer, the second barrier layer having a Si atom of the metal used in the wiring layer, and a gap formed between the first barrier layer and the second barrier layer.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: December 7, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tadayoshi Watanabe, Yumi Hayashi, Takamasa Usui
  • Publication number: 20100304569
    Abstract: A method of forming a contact hole is provided. A pattern is formed in a photo resist layer. The pattern is exchanged into a silicon photo resist layer to form a first opening. Another pattern is formed in another photo resist layer. The pattern is exchanged into a silicon photo resist layer to form a second opening. The pattern having the first, and second openings is exchanged into the interlayer dielectric layer, and etching stop layer to form the contact hole. The present invention has twice exposure processes and twice etching processes to form the contact hole having small distance.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 2, 2010
    Inventors: Pei-Yu Chou, Jiunn-Hsiung Liao
  • Patent number: 7838962
    Abstract: In manufacturing a semiconductor device including a substrate having a (111)-plane orientation and an off-set angle in a range between 3 degrees and 4 degrees, a capacitor, a transistor and a diffusion resistor are formed in the substrate, each of which are separated by a junction separation layer. A first silicon nitride film is formed by low pressure CVD over a surface of the substrate except a bottom portion of a contact hole and a portion over the junction separation layer, and a silicon oxide film is formed by low pressure CVD over the first silicon nitride film. A second silicon nitride film as a protecting film is formed by plasma CVD so as to cover the semiconductor device finally. Therefore, the semiconductor device having high reliability can be obtained.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: November 23, 2010
    Assignee: Denso Corporation
    Inventor: Hiroyasu Ito
  • Patent number: 7834459
    Abstract: An inventive semiconductor device includes at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: November 16, 2010
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 7825497
    Abstract: A method of manufacturing a semiconductor device including forming two first gate electrodes along a first direction on a first surface of a semiconductor substrate and source/drain areas sandwiching a channel region under each of the first gate electrodes, forming a first interlayer insulating layer to fill a region between the first gate electrodes, lowering a top of the first interlayer insulating layer, depositing a second interlayer insulating layer on the first interlayer insulating layer and the first gate electrodes, planarizing a surface of the second interlayer insulating layer, and forming an interconnect layer in the second interlayer insulating layer and a contact plug in the first interlayer insulating layer and the second interlayer insulating layer so that the contact plug is in contact with the interconnect layer and one of the source/drain areas.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: November 2, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Kutsukake, Yasuhiko Matsunaga, Shoichi Miyazaki
  • Publication number: 20100270682
    Abstract: A method and structure are provided for implementing vertical airgap structures between chip metal layers. A first metal layer is formed. A first layer of silicon dioxide dielectric is deposited onto the first metal layer. A vertical air gap is etched from the first layer of silicon dioxide dielectric above the first metal layer. A second layer of silicon dioxide dielectric is deposited and the vertical air gap is sealed. A next trace layer is etched from the second layer of silicon dioxide dielectric and a via opening is etched from the second and first layers of silicon dioxide dielectric. Then metal is deposited into the next trace layer and metal is deposited into the via opening.
    Type: Application
    Filed: April 27, 2009
    Publication date: October 28, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Axel Aguado Granados, Benjamin Aaron Fox, Nathaniel James Gibbs, Andrew Benson Maki, Trevor Joseph Timpane
  • Patent number: 7820535
    Abstract: Effective fillability and the uniformity electrodeposition of a copper electroplating solution is judged by determining the time-dependent potential change thereof at a cathode current density of 0.1-20 A/dm2. The potential change is determined at a working electrode rotation of 100-7500 rpm, and the fillability with the solution is judged from the curve profile. The time-dependent potential change curve within a predetermined period of time after the start of electrolysis is approximated according to the Boltzmann's function, and the potential change speed dx and the potential convergent point A2 are obtained to judge the fillability with a plating solution.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: October 26, 2010
    Assignee: Toppan Printing Co., Ltd.
    Inventors: Toshikazu Okubo, Katsuyoshi Naoi, Yuka Yamada
  • Patent number: 7821106
    Abstract: A process for producing electrical contact connections for a component integrated in a substrate material is provided, the substrate material having a first surface region, and at least one terminal contact being arranged at least partially in the first surface region for each component, which is distinguished in particular by application of a covering to the first surface region and production of at least one contact passage which, in the substrate material, runs transversely with respect to the first surface region, in which process, in order to form at least one contact location in a second surface region which is to be provided, at least one electrical contact connection from the contact location to at least one of the terminal contacts is produced via the respective contact passages.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: October 26, 2010
    Assignee: Schott AG
    Inventors: Florian Bieck, Jürgen Leib
  • Patent number: 7820537
    Abstract: A method for fabricating a semiconductor device includes forming a polysilicon layer, a barrier metal layer, and a conductive layer over a substrate, forming gate hard masks over the conductive layer, etching the conductive layer and the barrier metal layer using the gate hard masks to form barrier metal electrodes and metal gate electrodes having a line width smaller than that of the gate hard masks, etching the polysilicon layer to form gate patterns, each gate pattern including a stack structure of a polysilicon electrode, the barrier metal electrode, the metal gate electrode, and the gate hard mask, forming a gate spacer over the surface profile of the substrate structure, forming an insulation layer over the gate spacer, etching the insulation layer to form a contact hole between the gate patterns and burying a conductive material over the contact hole to form a landing plug contact.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: October 26, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Han Kim
  • Publication number: 20100264549
    Abstract: Disclosed herein are a trench substrate and a method of manufacturing the same. The trench substrate includes a base substrate, an insulating layer formed on one side or both sides of the base substrate and including trenches formed in a circuit region and a dummy region positioned at a peripheral edge of the trench substrate, and a circuit layer formed in the trenches of the circuit region through a plating process and including a circuit pattern and vias. Thanks to formation of the trenches in the dummy region and the cutting region, deviation in thickness of a plating layer formed on the insulating layer in a plating process is improved upon.
    Type: Application
    Filed: May 11, 2009
    Publication date: October 21, 2010
    Inventors: Young Gwan KO, Ryoichi Watanabe, Sang Soo Lee
  • Publication number: 20100264548
    Abstract: Through substrate vias (TSVs) are provided after substantially all high temperature operations needed to form a device region (26) of a first thickness (27) proximate the front surface (23) of a substrate wafer (20, 20?) by: (i) from the front surface (23), forming comparatively shallow vias (30, 30?) of a first aspect ratio containing first conductors (36, 36?) extending preferably through the first thickness (27) but not through the initial wafer (20) thickness (21), (ii) removing material (22?) from the rear surface (22) to form a modified wafer (20?) of smaller final thickness (21?) with a new rear surface (22?), and (iii) forming from the new rear surface (22?), much deeper vias (40, 40?) of second aspect ratios beneath the device region (26) with second conductors (56, 56?) therein contacting the first conductors (36, 36?), thereby providing front-to-back interconnections without substantially impacting wafer robustness during manufacturing and device region area.
    Type: Application
    Filed: April 16, 2009
    Publication date: October 21, 2010
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Paul W. Sanders, Michael F. Petras, Chandrasekaram Ramiah
  • Patent number: 7807565
    Abstract: A method for forming a semiconductor device includes forming drain contact holes in a first interlayer insulating layer provided over a semiconductor substrate. First metal material is formed over the first interlayer insulating layer and fills the drain contact holes. A first metal layer formed by patterning the first metal material includes first lines and landing pads. Trenches formed in a second interlayer insulating layer formed over the patterned first metal material expose the landing pads. A second metal layer is formed by providing second metal material over the second interlayer insulating layer and filling the trenches. The second metal layer includes second lines within the trenches that contact the landing pads. The first and second metal layers define a first metal level of the semiconductor device. The first lines define odd-number lines of the first metal level, and the second lines define even-number lines of the first metal level.
    Type: Grant
    Filed: May 22, 2006
    Date of Patent: October 5, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Woo Yung Jung, Tae Kyung Kim, Eun Soo Kim
  • Patent number: 7807568
    Abstract: Methods of processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include providing a substrate to a process chamber comprising a dielectric layer having a feature formed therein. A barrier layer may be formed within the feature. A coating of a first conductive material may be formed atop the barrier layer. A seed layer of the first conductive material may be formed atop the coating. The feature may be filled with a second conductive material. In some embodiments, the seed layer may be formed while maintaining the substrate at a temperature of greater than about 40 degrees Celsius.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Arvind Sundarrajan
  • Patent number: 7804125
    Abstract: A semiconductor device includes a substrate, a memory cell formed on the substrate, and a contact to the substrate. The contact is formed in an area away from the memory cell and functions to raise the potential of the substrate.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: September 28, 2010
    Assignee: Spansion LLC
    Inventors: Ashot Melik Martirosian, Zhizheng Liu, Mark Randolph
  • Patent number: 7800228
    Abstract: A reliable and mechanical strong interconnect structure is provided that does not include gouging features in the bottom of the an opening, particularly at a via bottom. Instead, the interconnect structures of the present invention utilize a Co-containing buffer layer that is selectively deposited on exposed surfaces of the conductive features that are located in a lower interconnect level. The selective deposition is performed through at least one opening that is present in a dielectric material of an upper interconnect level. The selective deposition is performed by electroplating or electroless plating. The Co-containing buffer layer comprises Co and at least one of P and B. W may optionally be also present in the Co-containing buffer layer.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: September 21, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Oscar Van Der Straten
  • Patent number: 7795135
    Abstract: The invention relates to a method for producing a layer arrangement. An electrically conductive layer is formed and patterned. A sacrificial layer formed on at least part of the patterned electrically conductive layer. An electrically insulating layer is formed on the electrically conductive and sacrificial layers and is patterned in such a manner that one or more surface areas of the sacrificial layer are exposed. The exposed areas of the sacrificial layer are removed to expose one or more surface areas of the patterned electrically conductive layer. The patterned electrically conductive layer is covered with a pattern of electrically conductive material.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: September 14, 2010
    Assignee: Infineon Technologies AG
    Inventors: Stefan Eckert, Klaus Goller, Hermann Wendt
  • Patent number: 7795045
    Abstract: A method of manufacturing a semiconductor wafer having at least one device trench extending to a first depth position includes providing a semiconductor substrate having first and second main surfaces and a semiconductor material layer having first and second main surfaces disposed on the first main surface of the semiconductor substrate and determining an etch ratio. The least one device trench and at least one monitor trench are simultaneously formed in the first main surface of the semiconductor material layer. The at least one monitor trench is monitored to detect when it extends to a second depth position. A ratio of the first depth position to the second depth position is generally equal to the etch ratio.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: September 14, 2010
    Assignee: Icemos Technology Ltd.
    Inventors: Hugh J. Griffin, Takeshi Ishiguro, Kenji Sugiura
  • Patent number: 7795133
    Abstract: By covering inner surfaces of a wiring groove 26c and a via hole 27a with a fourth insulation film 25 containing porogen during a manufacturing process of a semiconductor device, an increase in the relative permittivity of the fourth insulation film 25 that is a low-permittivity film on the inner surfaces of the wiring groove 26c and the via hole 27a can be suppressed in a manufacturing process of a semiconductor device such as a barrier metal sputtering process.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: September 14, 2010
    Assignee: Panasonic Corporation
    Inventor: Kotaro Nomura