By Forming Via Holes (epo) Patents (Class 257/E21.577)
  • Publication number: 20110207322
    Abstract: A method of manufacturing a semiconductor device includes, but is not limited to, the following processes. A seed layer is formed over a substrate. The seed layer includes first, second, and third portions. A first electrode covering the first portion of the seed layer is formed without forming an electrode on the second and third portions of the seed layer. The third portion of the seed layer is removed so that the first and second portions remain over the substrate, and the first and second portions are separated from each other.
    Type: Application
    Filed: February 14, 2011
    Publication date: August 25, 2011
    Inventor: Masahiro YAMAGUCHI
  • Publication number: 20110204519
    Abstract: A semiconductor device includes a first interconnect, a porous dielectric layer formed over the first interconnect, a second interconnect buried in the porous dielectric layer and electrically connected to the first interconnect, and a carbon-containing metal film that is disposed between the porous dielectric layer and the second interconnect and isolates these layers.
    Type: Application
    Filed: October 22, 2009
    Publication date: August 25, 2011
    Applicants: RENESAS ELECTRONICS CORPORATION, ULVAC, INC.
    Inventors: Shinichi Chikaki, Takahiro Nakayama
  • Patent number: 8003525
    Abstract: A GaN layer and an n-type AlGaN layer are formed over an insulating substrate, and thereafter, a gate electrode, a source electrode and a drain electrode are formed on them. Next, an opening reaching at least a surface of the insulating substrate is formed in the source electrode, the GaN layer and the n-type AlGaN layer. Then, a nickel (Ni) layer is formed in the opening. Thereafter, by conducting dry etching from the back side while making the nickel (Ni) layer serve as an etching stopper, a via hole reaching the nickel (Ni) layer is formed in the insulating substrate. Then, a via wiring is formed extending from an inside the via hole to the back surface of the insulating substrate.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: August 23, 2011
    Assignee: Fujitsu Limited
    Inventor: Naoya Okamoto
  • Patent number: 8004090
    Abstract: A first insulating layer including a first contact pad made of conductive polysilicon and a second insulating layer including a second contact pad are formed over a semiconductor silicon layer. After this, a via hole for a through-hole electrode is formed until the via hole penetrates through at least the semiconductor silicon layer and the first contact pad and reaches to the second contact pad.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: August 23, 2011
    Assignee: Elpida Memory, Inc
    Inventor: Shiro Uchiyama
  • Patent number: 8003519
    Abstract: A BEOL manufacturing process for forming a via process between two metal lines on a semiconductor wafer comprises depositing a portion of a first metal adhesion layer within a patterned via hole, followed by a cooling step. The cooling step is then followed by formation of the remainder of the first metal adhesion layer and formation of a second metal adhesion layer within the patterned via hole. This process of forming the remaining portion of the first metal adhesion layer can be referred to as a wafer load, unload, load (LUL) process. By using a LUL process, thermal history is minimized, which reduces Al extrusion at the via interfaces.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: August 23, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Tuung Luoh, Chi-Tung Huang, Kuang-Chao Chen, Candy Jiang
  • Patent number: 8003522
    Abstract: A method for forming a semiconductor structure includes the following steps. A hard mask layer is formed over a semiconductor region. The hard mask layer has inner portions that are thinner than its outer portions, and the inner portions define an exposed surface area of the semiconductor region. A portion of the semiconductor region is removed through the exposed surface area of the semiconductor region. The thinner portions of the hard mask layer are removed to expose surface areas of the semiconductor region underlying the thinner portions. An additional portion of the semiconductor region is removed through all exposed surface areas of the semiconductor region thereby forming a trench having an upper portion that is wider than its lower portion.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: August 23, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Hui Chen, Ihsiu Ho, Stacy W. Hall, Briant Harward, Hossein Paravi
  • Patent number: 7998862
    Abstract: A method of fabricating a semiconductor device includes forming a via hole in a semiconductor substrate, forming an isolation layer on an inner side of the via hole, forming a diffusion barrier layer over an upper portion of the semiconductor substrate and the inner side of the via hole where the isolation layer is formed, arranging a solvent, which contains electrically charged metal particles, on the semiconductor substrate where the diffusion barrier layer is formed, and filling the via hole with the metal particles by moving the metal particles using applied external force. The applied external force said includes a voltage causing an electric current to flow between the semiconductor substrate and the solvent, an electrical field applied between the semiconductor substrate and the solvent, or a magnetic field applied between the semiconductor substrate and the solvent.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: August 16, 2011
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Kunsik Park, Kyu-Ha Baek, Lee-Mi Do, Dong-Pyo Kim, Ji Man Park
  • Patent number: 7998851
    Abstract: A semiconductor device includes an inorganic insulating layer on a semiconductor substrate, a contact plug that extends through the inorganic insulating layer to contact the semiconductor substrate and a stress buffer spacer disposed between the node contact plug and the inorganic insulating layer. The device further includes a thin-film transistor (TFT) disposed on the inorganic insulating layer and having a source/drain region extending along the inorganic insulating layer to contact the contact plug. The device may further include an etch stop layer interposed between the inorganic insulating layer and the semiconductor substrate.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: August 16, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Yu-Gyun Shin, Jong-Wook Lee, Sun-Ghil Lee, In-Soo Jung, Young-Eun Lee, Deok-Hyung Lee
  • Patent number: 7999391
    Abstract: Provided is a wiring of the Damascene structure for preventing the TDDB withstand voltage degradation and for keeping the planarity to prevent the degradation of a focus margin. A trench wiring (213) is formed in an interlayer insulating film, which is composed of a silicon carbide-nitride film (205), a SiOCH film (206) and a silicon oxide film (207) [(e)]. The silicon oxide film (207) is etched at a portion adjacent to the wiring of a polished surface by dry etching or wet etching [(f)]. A silicon carbide-nitride film (SiCN) (214) is formed as a Cu cap film [(g)]. An interlayer insulating film is further formed thereon to form a conductive plug, a trench wiring and so on.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: August 16, 2011
    Assignee: NEC Corporation
    Inventors: Hiroto Ootake, Masayoshi Tagami, Munehiro Tada, Yoshihiro Hayashi
  • Publication number: 20110189853
    Abstract: According to an aspect of the present invention, there is provided a nonvolatile semiconductor storage apparatus including: a substrate; a columnar semiconductor disposed perpendicular to the substrate; a charge storage laminated film disposed around the columnar semiconductor; a first conductor layer that is in contact with the charge storage laminated film and that has a first end portion having a first end face; a second conductor layer that is in contact with the charge storage laminated film, that is separated from the first conductor layer and that has a second end portion having a second end face; a first contact plug disposed on the first end face; and a second contact plug disposed on the second end face.
    Type: Application
    Filed: April 13, 2011
    Publication date: August 4, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masaru Kito, Hirofumi Inoue
  • Publication number: 20110180932
    Abstract: A layered chip package includes a main body, and wiring including a plurality of wires disposed on a side surface of the main body. The main body includes a plurality of semiconductor chips stacked, and a plurality of electrodes that electrically connect the semiconductor chips to the wires. A method of manufacturing the layered chip package includes the steps of: fabricating a substructure that includes an array of a plurality of pre-separation main bodies and a plurality of holes for accommodating a plurality of preliminary wires, the holes being formed between two adjacent pre-separation main bodies; forming the preliminary wires in the plurality of holes by plating; and cutting the substructure so that the plurality of pre-separation main bodies are separated from each other and the preliminary wires are split into two sets of wires of two separate main bodies, whereby a plurality of layered chip packages are formed.
    Type: Application
    Filed: August 26, 2010
    Publication date: July 28, 2011
    Applicants: HEADWAY TECHNOLOGIES, INC., SAE MAGNETICS (H.K.) LTD.
    Inventors: Yoshitaka SASAKI, Hiroyuki Ito, Atsushi Iijima
  • Publication number: 20110183513
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Application
    Filed: April 6, 2011
    Publication date: July 28, 2011
    Inventors: Katsuhiko HOTTA, Kyoko SASAHARA
  • Publication number: 20110183488
    Abstract: A method for forming a semiconductor device includes the following processes. A substrate structure having an insulating upper surface is formed. The insulating upper surface has a step. An insulating layer is formed over the insulating upper surface. The insulating layer covers the step. The insulating layer includes first and second portions which are bounded by the step. The first portion is thinner than the second portion. First and second grooves are formed in the first and second portions, respectively. The first groove is shallower than the second groove. First and second conductive films which fill up the first and second grooves, respectively are formed. The first conductive film is thinner than the second conductive film.
    Type: Application
    Filed: January 24, 2011
    Publication date: July 28, 2011
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Yoshihiro TAKAISHI
  • Publication number: 20110175216
    Abstract: A microelectronic assembly and related method of forming a through hole extending through a first wafer and a second wafer are provided. The first and second wafer have confronting faces and metallic features at the faces which are joined together to assemble the first and second wafers. A hole can be etched downwardly through the first wafer until a gap is partially exposed between the confronting faces of the first and second wafers. The hole can have a first wall extending in a vertical direction, and a second wall sloping inwardly from the first wall to an inner opening through which the interfacial gap is exposed. Material of the first or second wafers exposed within the hole can then be sputtered such that at least some of the sputtered material deposits onto at least one of the exposed confronting faces of the first and second wafers and provides a wall between the confronting faces.
    Type: Application
    Filed: January 21, 2010
    Publication date: July 21, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Richard P. Volant, Mukta G. Farooq, Kevin S. Petrarca
  • Publication number: 20110171825
    Abstract: The invention includes methods of fabricating integrated circuitry. In one implementation, at least two different elevation conductive metal lines are formed relative to a substrate. Then, interconnecting vias are formed in a common masking step between, a) respective of the at least two different elevation conductive metal lines, and b) respective conductive nodes. Interconnecting conductive metal is provided within the interconnecting vias. Other aspects and implementations are contemplated.
    Type: Application
    Filed: March 22, 2011
    Publication date: July 14, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Hasan Nejad, James E. Green
  • Patent number: 7977237
    Abstract: When forming a complex metallization system in which vias of different lateral size have to be provided, a split patterning sequence may be applied. For this purpose, a lithography process may be specifically designed for the critical via openings and a subsequent second patterning process may be applied for forming the vias of increased lateral dimensions, while the critical vias are masked. In this manner, superior process conditions may be established for each of the patterning sequences.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: July 12, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Frank Feustel, Thomas Werner, Kai Frohberg
  • Patent number: 7977208
    Abstract: A hermetically sealed package includes a lid (14) hermetically bonded to a wafer or substrate (12), with a chamber therebetween defined by a recess (16) in the lid. A circuit device (26) such as MEMS device is provided within the chamber on the substrate. A plurality of vias (41-46) are provided through the substrate, and each have a structure which facilitates a hermetic seal of a suitable level between opposite sides of the substrate. The vias provide electrical communication from externally of the assembly to the device disposed in the chamber.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: July 12, 2011
    Assignee: Raytheon Company
    Inventors: Billy D. Ables, John C. Ehmke, Roland W. Gooch
  • Patent number: 7972909
    Abstract: An embodiment of the present invention is a technique to prevent reliability failures in semiconductor devices. A trench is patterned in a polyimide layer over a guard ring having a top metal layer. A passivation layer is etched at bottom of the trench. A capping layer is deposited on the trench over the etched passivation layer. The capping layer and the top metal layer form a mechanical strong interface to prevent a crack propagation.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: July 5, 2011
    Assignee: Intel Corporation
    Inventors: Nicole Meier Chang, George J. Korsh, Shafqat Ahmed, John Nugent, Ed Nabighian
  • Publication number: 20110156270
    Abstract: When forming contact levels of sophisticated semiconductor devices, a superior bottom to top fill behavior may be accomplished by applying an activation material selectively in the lower part of the contact openings and using a selective deposition technique. Consequently, deposition-related irregularities, such as voids, may be efficiently suppressed even for high aspect ratio contact openings.
    Type: Application
    Filed: October 25, 2010
    Publication date: June 30, 2011
    Inventors: Robert Seidel, Markus Nopper, Axel Preusse
  • Patent number: 7968460
    Abstract: Semiconductor devices are described that have a metal interconnect extending vertically through a portion of the device to the back side of a semiconductor substrate. A top region of the metal interconnect is located vertically below a horizontal plane containing a metal routing layer. Method of fabricating the semiconductor device can include etching a via into a semiconductor substrate, filling the via with a metal material, forming a metal routing layer subsequent to filling the via, and removing a portion of a bottom of the semiconductor substrate to expose a bottom region of the metal filled via.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: June 28, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kyle Kirby, Kunal Parekh
  • Patent number: 7968454
    Abstract: A method of forming a pattern structure includes forming a thin film pattern on a substrate, the thin film pattern including depression portions with first bottom widths, forming a protection layer on the thin film pattern by implanting ions into the thin film pattern, and etching a lower portion of the thin film pattern selectively using the protection layer as a mask to increase the first bottom widths of the depression portions into second bottom widths.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: June 28, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Imsoo Park, Kuntack Lee
  • Publication number: 20110151659
    Abstract: A method for forming a through substrate via (TSV) comprises forming an opening within a substrate. An adhesion layer of titanium is formed within the via opening, a nucleation layer of titanium nitride is formed over the adhesion layer, and a tungsten layer is deposited over the nucleation layer, the tungsten layer having a thickness less than or equal to a critical film thickness sufficient to provide for film integrity and adhesion stability. A stress relief layer of titanium nitride is formed over the tungsten layer and a subsequent tungsten layer is deposited over the stress relief layer. The subsequent tungsten layer has a thickness less than or equal to the critical film thickness. The method further includes planarizing to expose the interlevel dielectric layer and a top of the TSV and backgrinding a bottom surface of the substrate sufficient to expose a bottom portion of the TSV.
    Type: Application
    Filed: February 28, 2011
    Publication date: June 23, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: THUY B. DAO, CHANH M. VUONG
  • Publication number: 20110147946
    Abstract: A method of manufacturing a semiconductor device includes forming an integrated circuit region on a semiconductor wafer. A first metal layer pattern is formed over the integrated circuit region. A via hole is formed to extend through the first metal layer pattern and the integrated circuit region. A final metal layer pattern is formed over the first metal layer pattern and within the via hole. A plug is formed within the via hole. Thereafter, a passivation layer is formed to overlie the final metal layer pattern.
    Type: Application
    Filed: February 15, 2011
    Publication date: June 23, 2011
    Inventors: In-Young Lee, Ho-Jin Lee, Hyun-Soo Chung, Ju-Il Choi, Son-Kwan Hwang
  • Patent number: 7960277
    Abstract: An electronic device includes a conductive pattern formed on a first insulating film, a second insulating film formed on the conductive pattern and the first insulating film, a hole formed in the second insulating film on the conductive pattern, carbon nanotubes formed in the hole to extend from a surface of the conductive pattern, and a buried film buried in clearances among the carbon nanotubes in the hole.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: June 14, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mizuhisa Nihei
  • Patent number: 7956466
    Abstract: A design structure is provided for interconnect structures containing various capping materials for electrical fuses and other related applications. The structure includes a first interconnect structure having a first interfacial structure and a second interconnect structure adjacent to the first structure. The second interconnect structure has second interfacial structure different from the first interfacial structure.
    Type: Grant
    Filed: May 9, 2008
    Date of Patent: June 7, 2011
    Assignee: International Business Machines Corporation
    Inventors: Louis L. Hsu, William R. Tonti, Chih-Chao Yang
  • Patent number: 7955970
    Abstract: A process for producing a semiconductor device, comprising the wiring region forming step of forming a wiring region on a semiconductor substrate; the copper wiring layer forming step of forming a copper wiring layer on the formed wiring region by electrolytic plating technique, wherein the copper wiring layer is formed by passing a current of application pattern determined from the relationship between application pattern of current passed at electrolytic plating and impurity content characteristic in the formed copper wiring layer so that the impurity content in the formed copper wiring layer becomes desired one; and the wiring forming step of polishing the formed copper wiring layer into a wiring.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: June 7, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Michie Sunayama, Noriyoshi Shimizu, Masaki Haneda
  • Publication number: 20110124196
    Abstract: A method for forming a contact hole of a semiconductor device according to the present invention forms a contact hole which is defined as a new contact hole region (a second contact hole region), between spacers as well as a contact hole defined within the spacer (a first contact hole region) by a spacer patterning technology (SPT). The present invention with this method can help to form a fine contact hole as a double patterning is used, even with one mask.
    Type: Application
    Filed: December 30, 2009
    Publication date: May 26, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Byoung Hoon LEE
  • Patent number: 7947189
    Abstract: A vacuum processing method includes mounting a sample to be processed on a sample mounting surface on a sample holder placed in a vacuum container whose inside can be depressurized, feeding a processing gas and electric field to a space above the sample holder inside of the vacuum container to generate plasma, and etching films of a plurality of layers laid over the surface of the sample into a predetermined shape. A heat conducting gas is fed between the sample mounting surface and the backside of the sample, and at the same time, the pressure of the heat conducting gas is changed stepwise in accordance with the progress of the processing of the films of a plurality of layers of the sample.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: May 24, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tooru Aramaki, Tsunehiko Tsubone, Tadamitsu Kanekiyo, Shigeru Shirayone, Hideki Kihara
  • Patent number: 7943505
    Abstract: A four layer interconnect structure is disclosed which includes a bottom conductive reactive layer such as titanium, a conductive barrier layer, such as titanium nitride, a conductive layer, such as aluminum-copper alloy, and a top conductive barrier layer, such as titanium nitride. The interconnection structure can be fabricated using conventional sputter deposition technology. The resulting interconnection structure provides a highly conductive thin film structure that provides good contact to tungsten plugs with small contact dimensions, good patternability on fine lines, and good reliability.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: May 17, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Howard E. Rhodes, Steven D. Cummings
  • Patent number: 7943520
    Abstract: A hole pattern forming method that forms a fine hole pattern in a work target layer that is formed on a semiconductor substrate, includes: forming a three-layer structure by laminating a carbon film layer, an intermediate mask layer, and a photoresist layer in that order on the work target layer; after patterning a hole pattern in the photoresist layer, patterning the hole pattern in the intermediate mask layer with the patterned photoresist layer serving as a mask; forming a sidewall oxide film on exposed portions of the photoresist layer, the intermediate mask layer, and the carbon film layer; forming a sidewall portion that includes the sidewall oxide film on inner wall surfaces of the hole pattern by etching back the sidewall oxide film; and after patterning a fine hole pattern in the carbon film layer with the sidewall portion and the intermediate mask layer serving as a mask, patterning the fine hole pattern in the work target layer with the patterned carbon film layer serving as a mask.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: May 17, 2011
    Assignee: Elpida Memory Inc.
    Inventor: Toshiyuki Hirota
  • Patent number: 7938973
    Abstract: By incorporating a material exhibiting a high adhesion on chamber walls of a process chamber during sputter etching, the defect rate in a patterning sequence on the basis of an ARC layer may be significantly reduced, since the adhesion material may be reliably exposed during a sputter preclean process. The corresponding adhesion layer may be positioned within the ARC layer stack so as to be reliably consumed, at least partially, while nevertheless providing the required optical characteristics. Hence, a low defect rate in combination with a high process efficiency may be achieved.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: May 10, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf Richter, Joerg Hohage, Martin Mazur
  • Publication number: 20110104895
    Abstract: A method for forming a plug structure includes the following steps. A substrate is provided. The substrate includes a MOS device with a source/drain region, a dielectric layer disposed on the MOS device, an opening defined in the dielectric layer, and a first glue layer disposed on a sidewall and a bottom of the opening. A portion of the first glue layer disposed at the bottom of the opening is punched through to expose the source/drain region. A barrier layer is formed over the substrate after the first glue layer is punched through. The opening is filled with a conductive structure, wherein the barrier layer disposed at the bottom of the opening is remained when the conductive structure is filled into the opening.
    Type: Application
    Filed: January 13, 2011
    Publication date: May 5, 2011
    Inventor: Chao-Ching Hsieh
  • Patent number: 7932166
    Abstract: By forming a highly non-conformal stressed overlayer, such as a contact etch stop layer, the efficiency of the stress transfer into the respective channel region of a field effect transistor may be significantly increased. For instance, non-conformal PECVD techniques may be used for forming highly stressed silicon nitride in a non-conformal manner, thereby achieving higher transistor performance for otherwise identical stress conditions.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: April 26, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Frank Feustel, Thomas Werner
  • Publication number: 20110089572
    Abstract: A method of fabricating through substrate vias is disclosed. In one aspect, vias are etched from the backside of the substrate down to shallow trench isolation (STI) or the pre-metal dielectric stack (PMD). Extra contacts between metal 1 contact pads and the through-wafer vias are fabricated for realizing the contact between the through wafer vias and the back-end-of-line of the semiconductor chips.
    Type: Application
    Filed: September 17, 2010
    Publication date: April 21, 2011
    Applicant: IMEC
    Inventors: Deniz Sabuncuoglu Tezcan, Yann Civale, Bart Swinnen, Eric Beyne
  • Publication number: 20110084397
    Abstract: A three-dimensional 3D interconnect structure with a small footprint is described, useful for connection from above to levels of circuit structures in a multi-level device. Also, an efficient and low cost method for manufacturing the 3D interconnect structure is provided.
    Type: Application
    Filed: October 14, 2009
    Publication date: April 14, 2011
    Applicant: Macronix International Co., Ltd.
    Inventor: HSIANG-LAN LUNG
  • Publication number: 20110086507
    Abstract: A method for providing an oxide layer on a semiconductor substrate is disclosed. In one aspect, the method includes obtaining a semiconductor substrate. The substrate may have a three-dimensional structure, which may comprise at least one hole. The method may also include forming an oxide layer on the substrate, for example, on the three-dimensional structure, by anodizing the substrate in an acidic electrolyte solution.
    Type: Application
    Filed: October 18, 2010
    Publication date: April 14, 2011
    Applicant: IMEC
    Inventors: Philippe Soussan, Eric Beyne, Philippe Muller
  • Patent number: 7923728
    Abstract: A TFT array panel and a manufacturing method thereof, The TFT array panel includes an insulation substrate, a plurality of gate lines, a plurality of first dummy wiring lines, a gate insulating layer, and a plurality of data lines. The insulation substrate has a display area for displaying an image and a peripheral area outside the display area. The plurality of gate lines are formed in the display area and in a portion of the peripheral area. The plurality of first dummy wiring lines are insulated from the gate lines and formed in the peripheral area. The gate insulating later is formed on the gate lines and the first dummy wiring lines, and has at least one contact hole exposing at least lateral end portions of the first dummy wiring lines.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: April 12, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Suk Lim, Yong-Gi Park, Sun-Ja Kwon
  • Patent number: 7923270
    Abstract: In a light-emitting device and its manufacturing method, mounting by batch process with surface-mount technology, high light extraction efficiency, and low manufacturing cost are realized. The light-emitting device comprises semiconductor layers of p-type and n-type nitride semiconductor, semiconductor-surface-electrodes to apply currents into each of the semiconductor layers, an insulating layer which holds the semiconductor layers, and mount-surface-electrodes. The semiconductor layers has a non-deposited area where the other semiconductor layer is not deposited. The insulating layer has VIA which electrically connect the mount-surface-electrodes and the semiconductor-surface-electrodes.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: April 12, 2011
    Assignee: Panasonic Electric Works Co., Ltd.
    Inventors: Kenichiro Tanaka, Masao Kubo
  • Patent number: 7923370
    Abstract: A multi-chip device and method of stacking a plurality substantially identical chips to produce the device are provided. The multi-chip device, or circuit, includes at least one through-chip via providing a parallel connection between signal pads from at least two chips, and at least one through-chip via providing a serial or daisy chain connection between signal pads from at least two chips. Common connection signal pads are arranged symmetrically about a center line of the chip with respect to duplicate common signal pads. Input signal pads are symmetrically disposed about the center line of the chip with respect to corresponding output signal pads. The chips in the stack are alternating flipped versions of the substantially identical chip to provide for this arrangement. At least one serial connection is provided between signal pads of stacked and flipped chips when more than two chips are stacked.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: April 12, 2011
    Assignee: MOSAID Technologies Incorporated
    Inventor: Hong Beom Pyeon
  • Publication number: 20110079920
    Abstract: An electrical connection via is formed through a substrate to make an electrical connection from one face of the substrate to the other. The via includes a ring made of an electrically conductive material. The ring is formed in a hole in the substrate so as to at least partly form the via.
    Type: Application
    Filed: October 4, 2010
    Publication date: April 7, 2011
    Applicant: STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: Hamed Chaabouni, Lionel Cadix
  • Publication number: 20110079893
    Abstract: Provided are methods of forming sealed via structures. One method involves: (a) providing a semiconductor substrate having a first surface and a second surface opposite the first surface; (b) forming a layer on the first surface of the substrate; (c) etching a via hole through the substrate from the second surface to the layer, the via hole having a first perimeter at the first surface; (d) forming an aperture in the layer, wherein the aperture has a second perimeter within the first perimeter; and (e) providing a conductive structure for sealing the via structure. Also provided are sealed via structures, methods of detecting leakage in a sealed device package, sealed device packages, device packages having cooling structures, and methods of bonding a first component to a second component.
    Type: Application
    Filed: November 11, 2010
    Publication date: April 7, 2011
    Inventors: David W. Sherrer, Larry J. Rasnake, John J. Fisher
  • Publication number: 20110079919
    Abstract: An electrical connection via passing through a substrate for a semiconductor device is made of at least one conducting ring formed in an annular hole passing through the substrate.
    Type: Application
    Filed: October 4, 2010
    Publication date: April 7, 2011
    Applicant: STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: Hamed Chaabouni, Lionel Cadix
  • Publication number: 20110079918
    Abstract: Removal of organic mask material from an etched dielectric film with an etchant gas mixture including silicon fluoride (SiF4). In certain embodiments, SiF4 is combined in an etchant gas mixture of molecular nitrogen (N2), carbon dioxide (CO2) to in-situ strip an organic mask material from a porous low-k dielectric film that has been etched to form a damascene interconnect structure with reduced etch profile bowing and reduced ashing damage to the low-k dielectric film.
    Type: Application
    Filed: September 30, 2010
    Publication date: April 7, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Yifeng ZHOU, Qingjun Zhou, Ling Wang, Nancy Fung, Jeremiah T. Pender
  • Patent number: 7919374
    Abstract: A conventional power MOSFET structure is difficult to improve a breakdown voltage of an element even using a super-junction structure. A power MOSFET according to an embodiment of the invention is a semiconductor device of a super-junction structure, including: a gate electrode filled in a trench formed on a semiconductor substrate; a gate wiring metal forming a surface layer; and a gate electrode plug connecting between the gate electrode and the gate wiring metal. Thus, a polysilicon layer necessary for the conventional typical power MOSFET is unnecessary. That is, column regions of an element active portion and an outer peripheral portion can be formed under the same conditions. As a result, it is possible to improve an element breakdown voltage as compared with the conventional one.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: April 5, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Hitoshi Ninomiya, Yoshinao Miura
  • Publication number: 20110070679
    Abstract: Some embodiments include methods of forming semiconductor constructions in which a semiconductor material sidewall is along an opening, a protective organic material is over at least one semiconductor material surface, and the semiconductor material sidewall and protective organic material are both exposed to an etch utilizing at least one fluorine-containing composition. The etch is selective for the semiconductor material relative to the organic material, and reduces sharpness of at least one projection along the semiconductor material sidewall. In some embodiments, the opening is a through wafer opening, and subsequent processing forms one or more materials within such through wafer opening to form a through wafer interconnect. In some embodiments, the opening extends to a sensor array, and the protective organic material is comprised by a microlens system over the sensor array. Subsequent processing may form a macrolens structure across the opening.
    Type: Application
    Filed: December 3, 2010
    Publication date: March 24, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Swarnal Borthakur, Richard L. Stocks
  • Patent number: 7910983
    Abstract: A MOS transistor having an increased gate-drain capacitance is described. One embodiment provides a drift zone of a first conduction type. At least one transistor cell has a body zone, a source zone separated from the drift zone by the body zone, and a gate electrode, which is arranged adjacent to the body zone and which is dielectrically insulated from the body zone by a gate dielectric. At least one compensation zone of the first conduction type is arranged in the drift zone. At least one feedback electrode is arranged at a distance from the body zone, which is dielectrically insulated from the drift zone by a feedback dielectric and which is electrically conductively connected to the gate electrode.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: March 22, 2011
    Assignee: Infineon Technologies Austria AG
    Inventors: Armin Willmeroth, Michael Treu
  • Publication number: 20110065272
    Abstract: A stacked multilayer structure according to an embodiment of the present invention comprises: a stacked layer part including a plurality of conducting layers and a plurality of insulating layers, said plurality of insulating layers being stacked alternately with each layer of said plurality of conducting layers, one of said plurality of insulating layers being a topmost layer among said plurality of conducting layers and said plurality of insulating layers; and a plurality of contacts, each contact of said plurality of contacts being formed from said topmost layer and each contact of said plurality of contacts being in contact with a respective conducting layer of said plurality of conducting layers, a side surface of each of said plurality of contacts being insulated from said plurality of conducting layers via an insulating film.
    Type: Application
    Filed: November 17, 2010
    Publication date: March 17, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Makoto MIZUKAMI, Takeshi Kamigaichi
  • Patent number: 7906431
    Abstract: Methods of fabricating a semiconductor device including a through-silicon via that is electrically insulated from the semiconductor substrate. An exemplary method includes preparing a semiconductor wafer including a semiconductor substrate, a semiconductor element, an interlayer insulating, pads that are electrically connected to the semiconductor element, and a protective film; forming upper terminals electrically connected to the pads; forming annular grooves below the pads and extending to the interlayer insulating film; forming an annular insulating layer in the annular grooves and forming a bottom insulating film on the bottom surface of the semiconductor substrate; forming electrode-forming extending to the pads; filling the electrode-forming holes with a conductive material to form through-silicon vias electrically connected to the pads; and forming lower terminals on the bottom insulating film electrically connected to the through-silicon vias.
    Type: Grant
    Filed: November 17, 2008
    Date of Patent: March 15, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toshiro Mistuhashi
  • Publication number: 20110056740
    Abstract: A through-hole electrode substrate includes a substrate including a plurality of through-holes, a plurality of through-hole electrodes arranged within each of the plurality of through-holes, and a first insulation layer arranged on one surface of the substrate, wherein the first insulation layer includes a plurality of first openings which expose each of the plurality of through-holes, the plurality of through-holes includes a leaning through-hole leaning from one surface to the other surface of the substrate, and each of the plurality of first openings is arranged to match an open position of the leaning through-hole.
    Type: Application
    Filed: September 3, 2010
    Publication date: March 10, 2011
    Applicant: DAI NIPPON PRINTING CO., LTD.
    Inventor: Takamasa Takano
  • Publication number: 20110057323
    Abstract: A method for fabricating a packaging structure having an embedded semiconductor element includes: providing a substrate having opposite first and second surfaces and at least an opening region predefined on the first surface; forming a first metallic frame around the periphery of the predefined opening region on the first surface; forming at least an opening inside the first metallic frame by laser ablation; disposing a semiconductor chip in the opening; forming a first dielectric layer on the first and second surfaces and the chip; forming a first wiring layer on the first dielectric layer of the first surface; and forming a first built-up structure on the first dielectric layer and the first wiring layer of the first surface. The invention can precisely control the shape of the opening through the first metallic frame around the periphery of the predefined opening region, thereby allowing the chip to be precisely embedded in the substrate.
    Type: Application
    Filed: September 7, 2010
    Publication date: March 10, 2011
    Applicant: UNIMICRON TECHNOLOGY CORPORATION
    Inventor: Kan-Jung Chia