By Forming Via Holes (epo) Patents (Class 257/E21.577)
  • Publication number: 20120135598
    Abstract: A method for fabricating interconnections with carbon nanotubes of the present invention comprises the following steps: forming a dual-layer that contains a catalytic layer and an upper covering layer on the periphery of a hole connecting with a substrate; and growing carbon nanotubes on the catalytic layer with the upper covering layer covering the carbon nanotubes. The present invention grows the carbon nanotubes between the catalytic layer and the upper covering layer. The upper covering layer protects the catalytic layer from being oxidized and thus enhances the growth of the carbon nanotubes. The carbon nanotubes are respectively connected with the lower substrate and an upper conductive wire via the catalytic layer and the upper covering layer, which results in a lower contact resistance. Moreover, the upper covering layer also functions as a metal-diffusion barrier layer to prevent metal from spreading to other materials via diffusion or other approaches.
    Type: Application
    Filed: April 26, 2011
    Publication date: May 31, 2012
    Inventors: Hsin-wei WU, Chung-Min Tsai, Tri-Rung Yew
  • Publication number: 20120135546
    Abstract: The present disclosure relates to the field of microelectronic substrate fabrication and, more particularly, to alignment inspection for vias formed in the microelectronic substrates. The alignment inspection may be achieved by determining the relative positions of fluorescing and non-fluorescing elements in a microelectronic substrate.
    Type: Application
    Filed: November 30, 2010
    Publication date: May 31, 2012
    Inventors: Zhihua Zou, Liang William Zhang, Sheng Li, Tamil Selvy Selvamuniandy
  • Patent number: 8187969
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming conductive patterns on a substrate; forming an interlayer dielectric between the conductive patterns; defining contact holes in the interlayer dielectric to expose portions of the substrate between the conductive patterns; forming a first conductive layer on a surface including the contact holes; forming contact plugs in such a way as to be isolated in the respective contact holes, by etching a surface of the first conductive layer to expose upper end surfaces of the conductive patterns; etching a partial thickness of the conductive patterns so that the upper end surfaces of the conductive patterns are lower than an upper end surface of the interlayer dielectric; and forming an insulation layer on the resultant structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: May 29, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Hwan Kim
  • Publication number: 20120129338
    Abstract: When a wiring structure is formed by a trench-first dual damascene method, a first hard mask for forming via holes and a second hard mask for forming wiring trenches are sequentially formed on an interlayer insulating film, openings are formed at the first hard mask while using the second hard mask as a mask, and thereafter, the openings are expanded in a lateral direction by an isotropic etching to form openings, via holes are formed by etching the interlayer insulating film while using the first hard mask and the second hard mask as masks, and wiring trenches communicating with the via holes are formed by etching the interlayer insulating film while using the second hard mask as a mask.
    Type: Application
    Filed: February 1, 2012
    Publication date: May 24, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Tomoyuki Kirimura
  • Patent number: 8183130
    Abstract: A semiconductor wafer has an insulating layer over a first surface of the substrate. An IPD structure is formed over the insulating layer. The IPD structure includes a MIM capacitor and inductor. A conductive via is formed through a portion of the IPD structure and partially through the substrate. The conductive via can be formed in first and second portions. The first portion is formed partially through the substrate and second portion is formed through a portion of the IPD structure. A first via is formed through a second surface of the substrate to the conductive via. A shielding layer is formed over the second surface of the substrate wafer. The shielding layer extends into the first via to the conductive via. The shielding layer is electrically connected through the conductive via to an external ground point. The semiconductor wafer is singulated through the conductive via.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: May 22, 2012
    Assignee: STATS ChipPAC, Ltd.
    Inventors: YongTaek Lee, HyunTai Kim, Gwang Kim, ByungHoon Ahn
  • Patent number: 8183061
    Abstract: A STT-MRAM integration scheme is disclosed wherein the connection between a MTJ and CMOS metal is simplified by forming an intermediate via contact (VAC) on a CMOS landing pad, a metal (VAM) pad that contacts and covers the VAC, and a MTJ on the VAM. A dual damascene process is performed to connect BIT line metal to CMOS landing pads through VAC/VAM/MTJ stacks in a device region, and to connect BIT line connection pads to CMOS connection pads through BIT connection vias outside the device region. The VAM pad is a single layer or composite made of Ta, TaN, or other conductors which serves as a diffusion barrier, has a highly smooth surface for MTJ formation, and provides excellent selectivity with refill dielectric materials during a chemical mechanical polish process. Each VAC is from 500 to 3000 Angstroms thick to minimize additional circuit resistance and minimize etch burden.
    Type: Grant
    Filed: February 7, 2011
    Date of Patent: May 22, 2012
    Assignee: MagIC Technologies, Inc.
    Inventors: Tom Zhong, Chyu-Jiuh Torng, Rongfu Xiao, Adam Zhong, Wai-Ming Johnson Kan, Daniel Liu
  • Publication number: 20120119379
    Abstract: A disclosed electric part package includes a supporting member that includes a first area in which an electric part is arranged, and a second area in which a first opening is positioned, the second area being isolated from the first area; a resin part provided on the supporting member thereby to cover the electric part arranged in the first area, the resin part including an electric terminal exposed in the first opening of the supporting member; and a wiring structure provided on the resin part, the wiring structure being electrically connected to the electric part and the electric terminal of the resin part.
    Type: Application
    Filed: November 14, 2011
    Publication date: May 17, 2012
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Naoyuki KOIZUMI, Masahiro Kyozuka, Kenta Uchiyama
  • Patent number: 8178440
    Abstract: The present invention relates to a method for forming a recess array device structure in a semiconductor substrate. The method includes the steps of: providing a base material including a semiconductor substrate and a first material; forming a plurality of second recesses on the semiconductor substrate; forming a second material in the second recesses; forming a metal layer on the second material and the base material, wherein the metal layer includes a first portion and a second portion; removing the second portion to form a plurality of metal layer openings; to and etching the base material according to the metal layer openings so as to form a plurality of third recesses. Accordingly, the metal layer can overcome the non-selectivity issue during the etching process.
    Type: Grant
    Filed: May 23, 2011
    Date of Patent: May 15, 2012
    Assignee: Nanya Technology Corp.
    Inventors: Chang Ming Wu, Yi Nan Chen, Hsien Wen Liu
  • Publication number: 20120112362
    Abstract: A semiconductor device includes a first line pattern and a second line pattern formed in parallel on a semiconductor substrate, third line patterns formed in parallel between the first line pattern and the second line pattern, fourth line patterns formed in parallel between the first line pattern and the second line pattern, a first connection structure configured to couple a first of the third line patterns with a first of the fourth lines patterns, which are adjacent to the first line pattern, and a second connection structure configured to couple a second of the first lines patterns with a second of the fourth lines patterns, which are adjacent to the second line pattern.
    Type: Application
    Filed: November 7, 2011
    Publication date: May 10, 2012
    Inventor: Yong Chul SHIN
  • Publication number: 20120115322
    Abstract: A method for fabricating a semiconductor device includes: forming a plurality of photoresist patterns over a substrate structure; forming an insulation layer for a spacer over a structure including the photoresist patterns; forming a plurality of spacers on sidewalls of the photoresist patterns by anisotropically etching the insulation layer, and forming a first opening through the insulation layer; and forming second openings in the insulation layer to expose the substrate structure.
    Type: Application
    Filed: January 11, 2012
    Publication date: May 10, 2012
    Inventor: Jung-Hee PARK
  • Publication number: 20120115320
    Abstract: A semiconductor device includes a first plug formed on a semiconductor substrate and exposed on side and upper surfaces of an upper part thereof and a second plug formed on the first plug to contact the exposed side and upper surfaces of the upper part of the first plug.
    Type: Application
    Filed: January 12, 2012
    Publication date: May 10, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hee Jung YANG
  • Patent number: 8173541
    Abstract: A chip carrier substrate includes a capacitor aperture and a laterally separated via aperture, each located within a substrate. The capacitor aperture is formed with a narrower linewidth and shallower depth than the via aperture incident to a microloading effect within a plasma etch method that is used for simultaneously etching the capacitor aperture and the via aperture within the substrate. Subsequently a capacitor is formed and located within the capacitor aperture and a via is formed and located within the via apertures. Various combinations of a first capacitor plate layer, a capacitor dielectric layer and a second capacitor plate layer may be contiguous with respect to the capacitor aperture and the via aperture.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: May 8, 2012
    Assignee: International Business Machines Corporation
    Inventors: Paul S. Andry, Chirag S. Patel
  • Publication number: 20120108054
    Abstract: A method for creating a dual damascene structure while using only one lithography and masking step. Conventional dual damascene structures utilize two lithography steps: one to mask and expose the via, and a second step to mask and expose the trench interconnection. The novel method for creating a dual damascene structure allows for a smaller number of processing steps, thus reducing the processing time needed to complete the dual damascene structure. In addition, a lower number of masks may be needed. The exemplary mask or reticle used within the process incorporates different regions possessing different transmission rates. During the exposing step, light from an exposing source passes through the mask to expose a portion of the photoresist layer on top of the wafer.
    Type: Application
    Filed: April 25, 2011
    Publication date: May 3, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Fan Chung Tseng, Chi Hsi Wu, Wei Ting Chien
  • Publication number: 20120104622
    Abstract: In one embodiment, a semiconductor device includes a first metal line disposed in a first metal level above a substrate. A second metal line is disposed in a second metal level disposed over the first metal level. A third metal line is disposed in a third metal level disposed over the second metal level. A through level via contacts the first metal line and the third metal line.
    Type: Application
    Filed: October 27, 2010
    Publication date: May 3, 2012
    Inventors: Sunoo Kim, Muhammed Shafi Pallachalil, Moosung Chae, Erdem Kaltalioglu
  • Publication number: 20120104611
    Abstract: Techniques described herein generally relate to laminated semiconductor structures. In some examples, method of forming a polyimide film are described. An example method may include forming a through hole in a laminated semiconductor structure that includes multiple stacked semiconductor layers. An inner wall of the laminated semiconductor structure can define the through hole. The inner wall can be exposed to a solution including a salt of polyamic acid and/or a polyamic acid that can be precipitated on the inner wall. The precipitated polyamic acid on the inner wall can be transformed into a polyimide film substantially coating the inner wall.
    Type: Application
    Filed: November 2, 2010
    Publication date: May 3, 2012
    Applicant: EMPIRE TECHNOLOGY DEVELOPMENT LLC.
    Inventor: Kenichi Fuse
  • Publication number: 20120108056
    Abstract: A method for fabricating a semiconductor device includes: forming a plurality of photoresist patterns over a substrate structure; forming an insulation layer for a spacer over a structure including the photoresist patterns; forming a plurality of spacers on sidewalls of the photoresist patterns by anisotropically etching the insulation layer, and forming a first opening through the insulation layer; and forming second openings in the insulation layer to expose the substrate structure.
    Type: Application
    Filed: January 11, 2012
    Publication date: May 3, 2012
    Inventor: Jung-Hee PARK
  • Publication number: 20120104604
    Abstract: An integrated circuit (IC) device includes a substrate having a top surface including active circuitry including a plurality of I/O nodes, and a plurality of die pads coupled to the plurality of I/O nodes. A first dielectric layer including first dielectric vias is over the plurality of die pads. A redirect layer (RDL) including a plurality of RDL capture pads is coupled to the plurality of die pads over the first dielectric vias. A second dielectric layer including second dielectric vias is over the plurality of RDL capture pads. At least one of the second dielectric vias is a crack arrest via that has a via shape that includes an apex that faces away from a neutral stress point of the IC die and is oriented along a line from the neutral stress point to the crack arrest via to face in a range of ±30 degrees from the line. Under bump metallization (UBM) pads are coupled to the plurality of RDL capture pads over the second dielectric vias, and metal bonding connectors are on the UBM pads.
    Type: Application
    Filed: November 1, 2010
    Publication date: May 3, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Robert Fabian McCarthy, Stanley Craig Beddingfield
  • Publication number: 20120108055
    Abstract: After forming a ring-shaped trench penetrating through a semiconductor substrate from a rear surface side thereof and forming an insulating film inside the trench and on the rear surface of the semiconductor substrate, a through hole is formed in the insulating film and semiconductor substrate on an inner side of the ring-shaped trench from the rear surface side, thereby exposing a surface protection insulating film formed on a front surface of the semiconductor substrate at a bottom of the through hole. After removing the surface protection insulating film at the bottom of the through hole to form an opening to expose an element surface electrode, a contact electrode connected to the element surface electrode is formed on inner walls of the through hole and opening, and a pad electrode made of the same layer as the contact electrode is formed on the rear surface of the semiconductor substrate.
    Type: Application
    Filed: January 6, 2012
    Publication date: May 3, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Yasuhiro YOSHIMURA, Naotaka TANAKA, Michihiro KAWASHITA, Takahiro NAITO, Takashi AKAZAWA
  • Publication number: 20120104589
    Abstract: A method for sealing through-holes in a material via material diffusion, without the deposition of a sealant material, is disclosed. The method is well suited to the fabrication and packaging of microsystems technology-based devices and systems. In some embodiments, the method comprises forming sacrificial material release through-holes through a structural layer, removing the sacrificial material via an etch that etches the sacrificial material through the release through-holes, and sealing of the release through-holes via material diffusion.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 3, 2012
    Applicant: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Rishi Kant, Roger Thomas Howe
  • Publication number: 20120098106
    Abstract: When a silicon through electrode is to be formed from a back surface (the surface on which a semiconductor device is not formed) of a silicon substrate, a wide range of an interlayer insulating film made of a Low-k material absorbs moisture, and there is a problem that the electrical characteristics of wiring are degraded. The above-described problem can be solved by forming at least a single ring-shaped frame laid out to enclose the silicon through electrode by using metal wirings in plural layers and a connection via connecting the upper and lower metal wirings in a Low-k material layer penetrated by the silicon through electrode and by forming a moisture barrier film made up of at least a metal wiring and a connection via between the silicon through electrode and a circuit wiring formed in the vicinity of the silicon through electrode.
    Type: Application
    Filed: July 1, 2009
    Publication date: April 26, 2012
    Inventors: Mayu Aoki, Kenichi Takeda, Kazuyuki Hozawa
  • Publication number: 20120091593
    Abstract: A through silicon via (TSV) and a deep trench capacitor (DTCap) or a deep trench isolation (DTI) are simultaneously formed on the same substrate by a single mask and a single reactive ion etching (RIE). The TSV trench is wider and deeper that the DTCap or DTI trench. The TSV and DTCap or DTI are formed with different dielectric materials on the trench sidewalls. The TSV and DTCap or DTI are perfectly aligned.
    Type: Application
    Filed: October 14, 2010
    Publication date: April 19, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Mukta G. Farooq, Louis L. Hsu
  • Publication number: 20120094443
    Abstract: Pass-through 3D interconnects and microelectronic dies and systems of stacked dies that include such interconnects are disclosed herein. In one embodiment, a system of stacked dies includes a first microelectronic die having a substrate, a metal substrate pad, and a first integrated circuit electrically coupled to the substrate pad. A pass-through 3D interconnect extends between front and back sides of the substrate, including through the substrate pad. The pass-through interconnect is electrically isolated from the substrate pad and electrically coupled to a second integrated circuit of a second microelectronic die attached to the back side of the substrate. In another embodiment, the first integrated circuit is a first memory device and the second integrated circuit is a second memory device, and the system uses the pass-through interconnect as part of an independent communication path to the second memory device.
    Type: Application
    Filed: December 22, 2011
    Publication date: April 19, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: David S. Pratt, Kyle K. Kirby, Dewali Ray
  • Publication number: 20120094482
    Abstract: Microelectronic devices and methods for filling vias and forming conductive interconnects in microfeature workpieces and dies are disclosed herein. In one embodiment, a method includes providing a microfeature workpiece having a plurality of dies and at least one passage extending through the microfeature workpiece from a first side of the microfeature workpiece to an opposite second side of the microfeature workpiece. The method can further include forming a conductive plug in the passage adjacent to the first side of the microelectronic workpiece, and depositing conductive material in the passage to at least generally fill the passage from the conductive plug to the second side of the microelectronic workpiece.
    Type: Application
    Filed: December 27, 2011
    Publication date: April 19, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: William M. Hiatt, Kyle K. Kirby
  • Publication number: 20120086129
    Abstract: A method includes providing a semiconductor chip having a first main surface and a second main surface opposite to the first main surface. An electrically insulating material is deposited on the first main surface of the semiconductor chip using a plasma deposition method. A first electrically conductive material is deposited on the second main surface of the semiconductor chip using a plasma deposition method.
    Type: Application
    Filed: October 11, 2010
    Publication date: April 12, 2012
    Inventors: Hans-Joerg Timme, Ivan Nikitin
  • Patent number: 8154136
    Abstract: Method of fabricating thin-film transistors in which contact with connecting electrodes becomes reliable. When contact holes are formed, the bottom insulating layer is subjected to a wet etching process, thus producing undercuttings inside the contact holes. In order to remove the undercuttings, a light etching process is carried out to widen the contact holes. Thus, tapering section are obtained, and the covering of connection wiring is improved.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: April 10, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hongyong Zhang
  • Patent number: 8153519
    Abstract: A method for fabricating a semiconductor device includes depositing and stacking a hard mask layer and a sacrificial layer over an etch target layer forming a mask pattern with holes defined therein over the sacrificial layer, forming first pillars filling the holes; removing the mask pattern, forming second pillars by using the first pillars as an etch barrier and etching the sacrificial layer, forming spacers surrounding sidewalls of each second pillar, removing the second pillars, etching the hard mask layer by using the spacers as etch barriers to form a hard mask pattern, and forming a hole pattern by using the hard mask pattern as an etch barrier and etching the etch target layer.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: April 10, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae-Seon Yu
  • Publication number: 20120083115
    Abstract: A semiconductor device includes in an interconnect structure which includes a first interconnect made of a copper-containing metal, a first Cu silicide layer covering the upper portion of the first interconnect, a conductive first plug provided on the upper portion of the Cu silicide layer and connected to the first interconnect, a Cu silicide layer covering the upper portion of the first plug, a first porous MSQ film provided over the side wall from the first interconnect through the first plug and formed to cover the side wall of the first interconnect, the upper portion of the first interconnect, and the side wall of the first plug, and a first SiCN film disposed under the first porous MSQ film to contact with the lower portion of the side wall of the first interconnect and having the greater film density than the first porous MSQ film.
    Type: Application
    Filed: April 1, 2011
    Publication date: April 5, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Tatsuya USAMI
  • Publication number: 20120080804
    Abstract: A process of forming an electronic device can include providing a first interconnect over a substrate having a primary surface, depositing a first insulating layer over the first interconnect, and patterning the first insulating layer to define an opening extending towards the first interconnect. The process can also include depositing a second insulating layer over the first insulating layer to seal the opening and form a cavity within the first opening, and forming a second interconnect over the first and second insulating layers. The cavity can be disposed between the first interconnect and the second interconnect. In another aspect, an electronic device can include a first interconnect, a first insulating layer defining a cavity, and a second interconnect. The cavity can be disposed between the first interconnect and the second interconnect, and a via may not be exposed within the cavity.
    Type: Application
    Filed: October 5, 2010
    Publication date: April 5, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Vishal P. Trivedi, Jay P. John
  • Publication number: 20120083119
    Abstract: A method of manufacturing a semiconductor device includes forming a first insulating interlayer positioned above one surface of a substrate, forming a first hole extended from the surface of the first insulating interlayer to midway of the substrate, forming a through-electrode in the first hole, forming an electro-conductive pattern positioned on the surface of the first insulating interlayer, and connected to one end of the through-electrode, making the other end of the through-electrode expose, by removing the other surface of the substrate, and forming a connection terminal connected to the other end of the through-electrode, on the other surface of the substrate.
    Type: Application
    Filed: December 12, 2011
    Publication date: April 5, 2012
    Applicant: Renesas Electronics Corporation
    Inventor: Masahiro Komuro
  • Patent number: 8148257
    Abstract: One or more embodiments relate to a method of forming an electronic device, comprising: providing a workpiece; forming a first barrier layer over the workpiece; forming an intermediate conductive layer over the first barrier layer; forming a second barrier layer over the intermediate conductive layer; forming a seed layer over the second barrier layer; removing a portion of the seed layer to leave a remaining portion of the seed layer and to expose a portion of the second barrier layer; and electroplating a fill layer on the remaining portion of the seed layer.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: April 3, 2012
    Assignee: Infineon Technologies AG
    Inventors: Hans-Joachim Barth, Gottfried Beer, Joern Plagmann, Jens Pohl, Werner Robl, Rainer Steiner, Mathias Vaupel
  • Patent number: 8143160
    Abstract: In a method of forming a contact plug of a semiconductor device, a nitride layer is prevented from being broken by forming a passivation layer over the nitride layer when contact holes are formed by etching an insulating layer between select lines formed over a semiconductor substrate. In an etch process of forming the contact plug, the passivation layer formed on sidewalls of the select lines is formed twice to protect the sidewalls of the select lines. Accordingly, the sidewalls of the select lines can be prevented from being damaged. Consequently, a process margin necessary to form a contact plug can be increased and, therefore, a smaller contact plug can be formed.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: March 27, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Publication number: 20120070977
    Abstract: Mask sets, layout design, and methods for forming contacts in devices are described. In one embodiment, a semiconductor device includes a plurality of contacts disposed over a substrate, the plurality of contacts being disposed as rows and columns on an orthogonal grid, each row of the plurality of contacts is spaced from an neighboring row of the plurality of contacts by a first distance, and each column of the plurality of contacts is spaced from an neighboring column of the plurality of contacts by a second distance.
    Type: Application
    Filed: November 21, 2011
    Publication date: March 22, 2012
    Applicant: Infineon Technologies AG
    Inventors: Roberto Schiwon, Klaus Herold, Jenny Lian, Sajan Marokkey, Martin Ostermayr
  • Patent number: 8138068
    Abstract: A method of forming nanopore is provided that includes forming a first structure on a substrate, and forming a second structure overlying the first structure. An intersecting portion of the first and the second structures is etched to provide an opening of nanopore dimensions. The substrate may be etched with a backside substrate etch to expose the nanopore opening.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: March 20, 2012
    Assignees: International Business Machines Corporation, Global Foundries
    Inventors: Zhengwen Li, Chengwen Pei, Frank Yang
  • Patent number: 8133809
    Abstract: A scheme for forming a thin metal interconnect is disclosed that minimizes etch residues and provides a wet clean treatment for via openings. A single layer interlayer dielectric (ILD), BARC, and photoresist layer are successively formed on a substrate having a copper layer that is coplanar with a dielectric layer. In one embodiment, the ILD is silicon nitride with 100 to 600 Angstrom thickness. After a via opening is formed in a photoresist layer above the copper layer, a first RIE process including BARC main etch and BARC over etch steps is performed. Then a second RIE step transfers the opening through the ILD to uncover the copper layer. Photoresist and BARC are stripped with oxygen plasma and a low DC bias. Wet cleaning may involve a first ST250 treatment, ultrasonic water treatment, and then a third ST250 treatment. A bottom electrode layer may be deposited in the via opening.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: March 13, 2012
    Assignee: MagIC Technologies, Inc.
    Inventor: Guomin Mao
  • Patent number: 8133777
    Abstract: A method of fabricating a memory is provided. A substrate including a memory region and a periphery region is provided. A plurality of gates each having spacers is formed on the substrate. A plurality of openings is formed between the gates in the memory region. A first material layer is formed in the memory region to cover the gates and fill the openings. A barrier layer is formed on the substrate to cover the gates in the periphery region and the first material layer in the memory region. A second material layer is formed on the substrate in the periphery region to cover the barrier layer in the periphery region. The barrier layer covering the first material layer is removed. The first material layer is partially removed to form a plurality of second openings. Each second opening is disposed on a top of the gate in the memory region.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: March 13, 2012
    Assignee: Winbond Electronics Corp.
    Inventors: Lu-Ping Chiang, Hsiu-Han Liao
  • Publication number: 20120056323
    Abstract: The application discloses a semiconductor structure and a method for manufacturing the same. The semiconductor structure comprises: a semiconductor substrate comprising a first surface and a second surface opposite to each other; and a silicon via formed through the semiconductor substrate, wherein the silicon via comprises a first via formed through the first surface; and a second via formed through the second surface and electrically connected with the first via, wherein the first and second vias are formed individually. Embodiments of the invention are applicable to the manufacture of a 3D integrated circuit.
    Type: Application
    Filed: February 24, 2011
    Publication date: March 8, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventor: Huilong Zhu
  • Publication number: 20120056278
    Abstract: A manufacturing method for contacts for a semiconductor device and a semiconductor device having said contacts, said method forms contact structures whose lower part consists of a plurality of contact holes and whose upper part consists of a trench contact, said contact holes having relatively smaller diameters, and the trench contacts having relatively larger contact areas. Thus contact holes with smaller diameters and trench contacts having larger contact areas can be easily connected to the metal layer above them, thereby improving the electrical conductivity of the contacts and improving the overall performances of the device.
    Type: Application
    Filed: April 19, 2011
    Publication date: March 8, 2012
    Inventors: Huical Zhong, Qingqing Liang
  • Patent number: 8129268
    Abstract: A method of fabricating a lower bottom electrode for a memory element and a semiconductor structure having the same includes forming a dielectric layer over a semiconductor substrate having a plurality of conductive contacts formed therein to be connected to access circuitry, forming a dielectric cap layer over exposed portions of the dielectric layer and the conductive contacts, depositing a planarizing material over the dielectric cap layer, etching a via to an upper surface of each conductive contact, removing the planarizing material, depositing electrode material over the dielectric cap layer and within the vias, the electrode material contacting an upper surface of each conductive contact, and planarizing the electrode material to form a lower bottom electrode over each conductive contact.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: March 6, 2012
    Assignee: International Business Machines Corporation
    Inventor: Matthew J. Breitwisch
  • Publication number: 20120049370
    Abstract: According to one embodiment, a carbon nanotube interconnection includes a first conductive layer, an insulating film, a catalyst underlying film, a catalyst deactivation film, a catalyst film, and carbon nanotubes. An insulating film is formed on the first conductive layer and including a hole. An catalyst underlying film is formed on the first conductive layer on a bottom surface in the hole and on the insulating film on a side surface in the hole. A catalyst deactivation film is formed on the catalyst underlying film on the side surface in the hole. A catalyst film is formed on the catalyst underlying film on the bottom surface in the hole and the catalyst deactivation film on the side surface in the hole. Carbon nanotubes are formed in the hole, the carbon nanotubes including one end in contact with the catalyst film on the bottom surface in the hole.
    Type: Application
    Filed: August 23, 2011
    Publication date: March 1, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Makoto Wada, Yuichi Yamazaki, Masayuki Katagiri, Masayuki Kitamura, Atsuko Sakata, Akihiro Kajita, Tadashi Sakai, Naohsi Sakuma
  • Publication number: 20120049384
    Abstract: Conductive lines are deposited on a substrate to produce traces for conducting electricity between electronic components. A patterned metal layer is formed on the substrate, and then a layer of material having a low thermal conductivity is coated over the patterned metal layer and the substrate. Vias are formed through the layer of material having the low thermal conductivity thereby exposing portions of the patterned metal layer. A film of conductive ink is then coated over the layer of material having the low thermal conductivity and into the vias to thereby coat the portions of the patterned metal layer, and then sintered. The film of conductive ink coated over the portion of the patterned metal layer does not absorb as much energy from the sintering as the film of conductive ink coated over the layer of material having the low thermal conductivity. The layer of material having the low thermal conductivity may be a polymer, such as polyimide.
    Type: Application
    Filed: March 26, 2010
    Publication date: March 1, 2012
    Applicants: ISHIHARA CHEMCIAL CO., LTD., APPLIED NANOTECH HOLDINGS, INC.
    Inventors: Zvi Yaniv, Mohshi Yang, Peter B. Laxton
  • Patent number: 8124523
    Abstract: A method for fabricating a semiconductor device includes the steps of (a) forming a plasma of a gas having carbon and fluorine, and forming an internal insulation film provided with a fluorine-doped carbon film formed on a substrate using the plasma; (b) forming a metal film on the internal insulation film; (c) etching the metal film according to a pattern to form a hard mask; (d) forming a concave part in the fluorine-doped carbon film by etching the fluorine-doped carbon film using the hard mask; (e) forming a film formation of a wiring material on the substrate for filling the concave part with the wiring material; (f) removing an excess part of the wiring material and the hard mask on the fluorine-doped carbon film for exposing a surface of the fluorine-doped carbon film; and (g) removing an oxide formed on the surface of the fluorine-doped film.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: February 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Kohei Kawamura, Toshihisa Nozawa, Takaaki Matsuoka
  • Patent number: 8117744
    Abstract: A method of forming an isolated electrically conductive contact through a metallic substrate includes creating at least one via through the substrate, where the via includes a first opening in a top surface of the substrate, a second opening in an opposing bottom surface and at least one continuous sidewall extending therebetween. A dielectric sleeve is formed on the at least one sidewall of the via while preserving at least a portion of the through via. An electrically conductive filler is then placed into the via. In the examples disclosed, the filler may be a conductive ink or a conductive epoxy.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: February 21, 2012
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Michael Nashner, Jeffrey Howerton
  • Patent number: 8119519
    Abstract: A method for making a semiconductor device including at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: February 21, 2012
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 8120113
    Abstract: A metal line in a semiconductor device includes an insulation layer having trenches formed therein, a barrier metal layer formed over the insulation layer and the trenches, a metal layer formed over the barrier metal layer, wherein the metal layer fills the trenches, and an anti-galvanic corrosion layer formed on an interface between the metal layer and the barrier metal layer.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: February 21, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young-Soo Choi, Gyu-Hyun Kim
  • Publication number: 20120040530
    Abstract: Novel low-resistivity tungsten film stack schemes and methods for depositing them are provided. The film stacks include a mixed tungsten/tungsten-containing compound (e.g., WC) layer as a base for deposition of tungsten nucleation and/or bulk layers. According to various embodiments, these tungsten rich layers may be used as barrier and/or adhesion layers in tungsten contact metallization and bitlines. Deposition of the tungsten-rich layers involves exposing the substrate to a halogen-free organometallic tungsten precursor. The mixed tungsten/tungsten carbide layer is a thin, low resistivity film with excellent adhesion and a good base for subsequent tungsten plug or line formation.
    Type: Application
    Filed: October 18, 2011
    Publication date: February 16, 2012
    Inventors: Raashina HUMAYUN, Kaihan ASHTIANI, Karl B. LEVY
  • Publication number: 20120034777
    Abstract: A semiconductor package includes a semiconductor wafer having a plurality of semiconductor die. A contact pad is formed over and electrically connected to an active surface of the semiconductor die. A gap is formed between the semiconductor die. An insulating material is deposited in the gap between the semiconductor die. An adhesive layer is formed over a surface of the semiconductor die and the insulating material. A via is formed in the insulating material and the adhesive layer. A conductive material is deposited in the via to form a through hole via (THV). A conductive layer is formed over the contact pad and the THV to electrically connect the contact pad and the THV. The plurality of semiconductor die is singulated. The insulating material can include an organic material. The active surface of the semiconductor die can include an optical device.
    Type: Application
    Filed: October 14, 2011
    Publication date: February 9, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Reza A. Pagaila, Zigmund R. Camacho, Lionel Chien Hui Tay, Byung Tai Do
  • Publication number: 20120032333
    Abstract: A first insulating film is formed on a semiconductor substrate, an interconnect groove is formed in the first insulating film, the inside of the interconnect groove is filled with a metal film, thereby forming a first interconnect. Then, a protective film is formed on the first insulating film and the first interconnect, and the surface of the protective film is exposed to reactive gas, thereby forming a reaction layer on an interface between the first interconnect and the protective film.
    Type: Application
    Filed: October 14, 2011
    Publication date: February 9, 2012
    Applicant: PANASONIC CORPORATION
    Inventors: Takeshi Harada, Junichi Shibata, Akira Ueki
  • Publication number: 20120034739
    Abstract: A method of creating a semiconductor chip having a substrate, a doped semiconductor material abutting the substrate and a device pad at an outer side of the doped semiconductor material involves creating a via through at least a portion of the substrate, the via having a periphery and a bottom at a location and depth sufficient to bring the via into proximity with the device pad but be physically spaced apart from the device pad, introducing an electrically conductive material into the via, and connecting the electrically conductive material to a signal source so the signal will deliberately be propagated from the electrically conductive material to the device pad without any direct electrical connection existing between the electrically conductive material and the device pad.
    Type: Application
    Filed: October 14, 2011
    Publication date: February 9, 2012
    Inventor: John Trezza
  • Publication number: 20120028391
    Abstract: To improve the use efficiency of materials and provide a technique of fabricating a display device by a simple process. The method includes the steps of providing a mask on a conductive layer, forming an insulating film over the conductive layer provided with the mask, removing the mask to form an insulating layer having an opening; and forming a conductive film in the opening so as to be in contact with the exposed conductive layer, whereby the conductive layer and the conductive film can be electrically connected through the insulating layer. The shape of the opening reflects the shape of the mask. A mask having a columnar shape (e.g., a prism, a cylinder, or a triangular prism), a needle shape, or the like can be used.
    Type: Application
    Filed: October 11, 2011
    Publication date: February 2, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Koichiro TANAKA
  • Publication number: 20120025395
    Abstract: A semiconductor device includes: a first porous layer that is formed over a substrate and includes a SiO2 skeleton; a second porous layer that is formed immediately above the first porous layer and includes a SiO2 skeleton; a via wiring that is provided in the first porous layer; and a trench wiring that is buried in the second porous layer. The first porous layer has a pore density x1 of 40% or below and the second porous layer has a pore density x2 of (x1+5) % or above.
    Type: Application
    Filed: July 28, 2011
    Publication date: February 2, 2012
    Applicants: ULVAC, INC., RENESAS ELECTRONICS CORPORATION
    Inventors: Shinichi CHIKAKI, Takahiro NAKAYAMA