By Forming Via Holes (epo) Patents (Class 257/E21.577)
  • Patent number: 8105938
    Abstract: There is provided a method of manufacturing a semiconductor substrate. The method includes: (a) forming a wiring pattern on a substrate; (b) covering the wiring pattern with an insulating resin, thereby forming a first insulating layer; (c) forming a second insulating layer on the first insulating layer; (d) forming a plurality of grooves through the second insulating layer; (e) forming at least one via hole through the first and second insulating layers by irradiating at least one of the grooves with a laser beam; (f) forming a seed metal layer on an inner surface of the at least one via hole, inner surfaces of the grooves, and a surface of the second insulating layer; and (g) forming a plating layer in the at least one via hole and the grooves, by an electrolytic plating using the seed metal layer as a power feeding layer.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: January 31, 2012
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Hitoshi Ito
  • Patent number: 8106485
    Abstract: A structure and method for removing damages of a dual damascene structure after plasma etching. The method includes the use of sublimation processes to deposit reactive material onto the damaged regions and conditions to achieve a controlled removal of the damaged region. Furthermore a semiconductor structure includes a dual damascene structure that has been treated by the method.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: William G. America, Steven H. Johnston, Brian W. Messenger
  • Patent number: 8105944
    Abstract: A semiconductor device includes a capacitor including a lower electrode and a upper electrode, and a capacitive film formed therebetween; a first via group including one or more first vias which is electrically connected to the lower electrode; and a second via group including one or more second vias which is electrically connected to the upper electrode and formed simultaneously with the first via group. The semiconductor device is designed by a method including a step of setting the number of the first vias and the second vias so that a value obtained by dividing a capacitance value of the capacitor by the total number of the first vias and the second vias included in the first via group and the second via group is set to be equal to or less than a predetermined value.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: January 31, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Naoshi Arikawa
  • Patent number: 8105941
    Abstract: A through-wafer interconnect and a method for fabricating the same are disclosed. The method starts with a conductive wafer to form a patterned trench by removing material of the conductive wafer. The patterned trench extends in depth from the front side to the backside of the wafer, and has an annular opening generally dividing the conductive wafer into an inner portion and an outer portion whereby the inner portion of the conductive wafer is insulated from the outer portion and serves as a through-wafer conductor. A dielectric material is formed or added into the patterned trench mechanical to support and electrically insulate the through-wafer conductor. Multiple conductors can be formed in an array.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: January 31, 2012
    Assignee: Kolo Technologies, Inc.
    Inventor: Yongli Huang
  • Publication number: 20120018868
    Abstract: A microelectronic unit, an interconnection substrate, and a method of fabricating a microelectronic unit are disclosed. A microelectronic unit can include a semiconductor element having a plurality of active semiconductor devices therein, the semiconductor element having a first opening extending from a rear surface partially through the semiconductor element towards a front surface and at least one second opening, and a dielectric region overlying a surface of the semiconductor element in the first opening. The microelectronic unit can include at least one conductive interconnect electrically connected to a respective conductive via and extending away therefrom within the aperture. In a particular embodiment, at least one conductive interconnect can extend within the first opening and at least one second opening, the conductive interconnect being electrically connected with a conductive pad having a top surface exposed at the front surface of the semiconductor element.
    Type: Application
    Filed: July 23, 2010
    Publication date: January 26, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Vage Oganesian, Ilyas Mohammed, Craig Mitchell, Belgacem Haba, Piyush Savalia
  • Publication number: 20120021602
    Abstract: A method and system is provided for efficiently varying the composition of the metal interconnects for a semiconductor device. A metal interconnect according to the present disclosure has an intermediate layer on a dielectric material, the intermediate layer having a relatively higher concentration of an impurity metal along with a primary metal, the impurity metal having a lower reduction potential than the primary metal. The metal interconnect has a main layer of the metal alloy interconnect on top of the intermediate layer and surrounded by the intermediate layer, the main layer having a relatively higher concentration of the primary metal than the intermediate layer, wherein the intermediate and main layers of the metal alloy interconnect each maintains a material uniformity.
    Type: Application
    Filed: September 30, 2011
    Publication date: January 26, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ting-Chu Ko, Ming-Hsing Tsai, Chien-Hsueh Shih
  • Patent number: 8101496
    Abstract: A BGA type semiconductor device having high reliability is offered. A pad electrode is formed on a surface of a semiconductor substrate and a glass substrate is bonded to the surface of the semiconductor substrate. A via hole is formed from a back surface of the semiconductor substrate to reach a surface of the pad electrode. An insulation film is formed on an entire back surface of the semiconductor substrate including an inside of the via hole. A cushioning pad is formed on the insulation film. The insulation film is removed from a bottom portion of the via hole by etching. A wiring connected with the pad electrode is formed to extend from the via hole onto the cushioning pad. A conductive terminal is formed on the wiring. Then the semiconductor substrate is separated into a plurality of semiconductor dice.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: January 24, 2012
    Assignee: Semiconductor Components Industries, LLC
    Inventor: Yukihiro Takao
  • Publication number: 20120015486
    Abstract: Some embodiments include methods of forming patterns. A semiconductor substrate is formed to comprise an electrically insulative material over a set of electrically conductive structures. An interconnect region is defined across the electrically conductive structures, and regions on opposing sides of the interconnect region are defined as secondary regions. A two-dimensional array of features is formed over the electrically insulative material. The two-dimensional array extends across the interconnect region and across the secondary regions. A pattern of the two-dimensional array is transferred through the electrically insulative material of the interconnect region to form contact openings that extend through the electrically insulative material and to the electrically conductive structures, and no portions of the two-dimensional array of the secondary regions is transferred into the electrically insulative material.
    Type: Application
    Filed: July 14, 2010
    Publication date: January 19, 2012
    Inventors: Dan Millward, Kaveri Jain, Zishu Zhang, Lijing Gou, Anton de Villiers, Jianming Zhou, Yuan He, Michael Hyatt, Scott L. Light
  • Publication number: 20120013009
    Abstract: The present invention discloses a semiconductor structure and a method for manufacturing the same. The semiconductor structure comprises a semiconductor substrate, a local interconnect structure connected to the semiconductor substrate, and at least one via stack structure electrically connected to the local interconnect structure, wherein the at least one via stack structure comprises a via having an upper via and a lower via, the width of the upper via being greater than that of the lower via; a via spacer formed closely adjacent to the inner walls of the lower via; an insulation layer covering the surfaces of the via and the via spacer; a conductive plug formed within the space surrounded by the insulation layer, and electrically connected to the local interconnect structure. The present invention is applicable to manufacture of a via stack in the filed of manufacturing semiconductor.
    Type: Application
    Filed: July 14, 2010
    Publication date: January 19, 2012
    Applicant: Institut of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Haizhou Yin, Zhijiong Luo
  • Publication number: 20120007257
    Abstract: A semiconductor device includes: a first insulating film formed on a substrate and having a first interconnect; a second insulating film as a liner film formed on the first insulating film and the first interconnect so as to contact the first insulating film; and a third insulating film formed on the second insulating film so as to contact the second insulating film. The second insulating film includes pores.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 12, 2012
    Applicant: PANASONIC CORPORATION
    Inventor: Kotaro NOMURA
  • Publication number: 20120007209
    Abstract: A method and apparatus for providing a conductive structure adjacent to a damascene conductive structure in a semiconductor device structure. The semiconductor device structure includes an insulation layer with at least one damascene conductive structure formed therein, wherein the at least one damascene conductive structure includes an insulative, protective layer disposed thereon. The insulative material of the protective layer is able to resist removal by at least some suitable etchants for the insulative material of the insulation layer adjacent to the at least one damascene conductive structure. A self-aligned opening is formed by removing a portion of an insulation layer adjacent the at least one damascene conductive structure. The self-aligned opening is then filled with a conductive material to thereby provide another conductive structure adjacent to the at least one damascene conductive structure.
    Type: Application
    Filed: September 20, 2011
    Publication date: January 12, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Howard E. Rhodes
  • Publication number: 20120001323
    Abstract: In complex semiconductor devices, sophisticated ULK materials may be used in metal line layers in combination with a via layer of enhanced mechanical stability by increasing the amount of dielectric material of superior mechanical strength. Due to the superior mechanical stability of the via layers, reflow processes for directly connecting the semiconductor die and a package substrate may be performed on the basis of a lead-free material system without unduly increasing yield losses.
    Type: Application
    Filed: December 13, 2010
    Publication date: January 5, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Torsten Huisinga, Jens Heinrich, Kai Frohberg, Frank Feustel
  • Publication number: 20120003829
    Abstract: A method of fabricating a semiconductor device includes forming a bottom electrode material layer containing aluminum and cupper over the substrate. An insulating material layer and a top electrode material layer are sequentially formed on the surface of the bottom electrode material layer. A photoresist pattern is formed on the top electrode material layer, and then the top electrode material layer is patterned to form a top electrode by using the photoresist pattern as mask. The photoresist pattern is removed by plasma ash and then an alloy process is performed to the bottom electrode material layer. Thereafter, the insulating material layer, and the bottom electrode material layer are patterned to form a patterned insulating layer and a patterned bottom electrode layer.
    Type: Application
    Filed: September 8, 2011
    Publication date: January 5, 2012
    Inventor: Chun-Chen Hsu
  • Publication number: 20120001304
    Abstract: There are provided a semiconductor device and a semiconductor device manufacturing method capable of preventing electrical leakage while suppressing increase of wiring resistance and deterioration of productivity. The semiconductor device manufacturing method for forming on a substrate a semiconductor device having a porous low-k film serving as an interlayer insulating film. Further, the semiconductor device manufacturing method includes forming the low-k film on the substrate; etching the low-k film to form a trench or a hole therein; reforming a surface of the low-k film exposed by etching the low-k film by allowing plasma of a nitro compound to act on the exposed surface within the trench or the hole; and filling the trench or the hole with a conductor.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 5, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Ryuichi Asako
  • Publication number: 20110316161
    Abstract: In an insulating film structure having a barrier insulating film, a via interlayer insulating film, a wiring interlayer insulating film, and a hard mask film stacked in this order on an underlayer wiring, a via hole pattern is formed in the insulating film structure, then a groove pattern is formed in the hard mask film, and a grove is formed in the insulating film structure using this as a mask. According to the prior art, the via side wall is oxidized equally severely in the both processes. The trench side wall is oxidized severely in the via first process according to the prior art, whereas, according to the present invention, the oxidation thereof is suppressed to such an extent that an almost non-oxidized state can be created.
    Type: Application
    Filed: September 7, 2011
    Publication date: December 29, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Hiroto OHTAKE, Munehiro TADA, Makoto UEKI, Yoshihiro HAYASHI
  • Publication number: 20110318923
    Abstract: For forming a semiconductor device, a via structure is formed through at least one dielectric layer and at least a portion of a substrate. In addition, a protective buffer layer is formed onto the via structure. Furthermore, a conductive structure for an integrated circuit is formed over the substrate after forming the via structure and the protective buffer layer, with the conductive structure not being formed over the via structure. Thus, deterioration of the conductive and via structures is minimized.
    Type: Application
    Filed: October 27, 2010
    Publication date: December 29, 2011
    Inventors: Byung-Lyul Park, Gil-Heyun Choi, Suk-Chul Bang, Kwang-Jin Moon, Dong-Chan Lim, Deok-Young Jung
  • Patent number: 8084357
    Abstract: A method for manufacturing a multi cap layer includes providing a substrate, forming a multi cap layer comprising a first cap layer and a second cap layer formed thereon on the substrate, forming a patterned metal hard mask layer on the multi cap layer, and performing an etching process to etch the multi cap layer through the patterned hard mask layer and to form an opening in the second cap layer.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: December 27, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Wei-Chih Chen, Su-Jen Sung, Feng-Yu Hsu, Chun-Chieh Huang, Mei-Ling Chen, Jiann-Jen Chiou
  • Patent number: 8084354
    Abstract: During the fabrication of sophisticated metallization systems of semiconductor devices, material deterioration of conductive cap layers may be significantly reduced by providing a noble metal on exposed surface areas after the patterning of the corresponding via openings. Hence, well-established wet chemical etch chemistries may be used while not unduly contributing to process complexity.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: December 27, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Volker Kahlert, Christof Streck
  • Patent number: 8084352
    Abstract: A high-density N-type diffusion layer 116 formed in a separation area 115 makes it possible to reduce a collector current flowing through a parasitic NPN transistor 102. Thus, a normal CMOS process can be used to provide a driving circuit and a data line driver which make it possible to improve resistance to possible noise occurring between adjacent terminals, while controlling a chip size.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: December 27, 2011
    Assignee: Panasonic Corporation
    Inventors: Takeshi Harada, Junichi Shibata, Akira Ueki
  • Patent number: 8084351
    Abstract: A method for fabricating a contact of a semiconductor device includes the steps of forming a dielectric layer having a contact hole on a semiconductor substrate, forming an out-gassing barrier layer comprising a poly-silicon layer to cover at least inner walls of the contact hole in order to prevent undesired out-gassing from the dielectric layer, and depositing an aluminum layer on the out-gassing barrier layer. The contact structure of the semiconductor device includes the aluminum layer filled in the contact layer formed on the semiconductor substrate, and the out-gassing barrier layer formed under the aluminum layer to prevent out-gassing from the dielectric layer. A fine contact can be formed along with the aluminum layer, thereby realizing the contact structure of a lower contact resistance. As a result, it is possible to realize stabilization of an overall contact resistance of the semiconductor device.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: December 27, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: In Cheol Ryu
  • Publication number: 20110309520
    Abstract: A semiconductor device includes a semiconductor substrate including a first surface and a second surface opposite to the first surface, and a through-via penetrating the semiconductor substrate. The through-via has a stacked structure of a first conductive film formed in a portion of the semiconductor substrate closer to the first surface, and a second conductive film formed in a portion of the semiconductor substrate closer to the second surface. An insulating layer is buried inside the semiconductor substrate. The first conductive film is electrically connected to the second conductive film in the insulating layer.
    Type: Application
    Filed: August 25, 2011
    Publication date: December 22, 2011
    Applicant: Panasonic Corporation
    Inventor: Daisuke INAGAKI
  • Publication number: 20110306202
    Abstract: A method for fabricating a semiconductor device includes: forming a plurality of photoresist patterns over a substrate structure; forming an insulation layer for a spacer over a structure including the photoresist patterns; forming a plurality of spacers on sidewalls of the photoresist patterns by anisotropically etching the insulation layer, and forming a first opening through the insulation layer; and forming second openings in the insulation layer to expose the substrate structure.
    Type: Application
    Filed: September 30, 2010
    Publication date: December 15, 2011
    Inventor: Jung-Hee PARK
  • Patent number: 8076230
    Abstract: A method for simultaneous formation of a self-aligned contact of a core region and a local interconnect of a peripheral region of an integrated circuit includes etching a cap dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the cap dielectric layer, etching a dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the dielectric layer of the dielectric layer, etching a liner layer simultaneously on a shoulder of sidewall spacers associated with the hole and with the trench of the dielectric layer without etching the liner layer at a bottom area of the hole and the trench, performing an oxygen flushing to remove polymer residues, and etching simultaneously through the liner layer that lines the bottom area of the hole and the trench.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co. Ltd.
    Inventor: An Chyi Wei
  • Patent number: 8072070
    Abstract: A barrier layer is deposited over a layer of passivation including in an opening to a contact pad created in the layer of passivation. A column of three layers of metal is formed overlying the barrier layer and aligned with the contact pad and having a diameter that is about equal to the surface of the contact pad. The three metal layers of the column comprise, in succession when proceeding from the layer that is in contact with the barrier layer, a layer of pillar metal, a layer of under bump metal and a layer of solder metal. The layer of pillar metal is reduced in diameter, the barrier layer is selectively removed from the surface of the layer of passivation after which reflowing of the solder metal completes the solder bump of the invention.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: December 6, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Publication number: 20110294246
    Abstract: An apparatus includes a semiconductor layer (2) having therein a cavity (4). A dielectric layer (3) is formed on the semiconductor layer. A plurality of etchant openings (24) extend through the dielectric layer for passage of etchant for etching the cavity. An SiO2 pillar (25) extends from a bottom of the cavity to engage and support a portion of the dielectric layer extending over the cavity. In one embodiment, a cap layer (34) on the dielectric layer covers the etchant openings.
    Type: Application
    Filed: August 11, 2011
    Publication date: December 1, 2011
    Applicant: Texas Instruments Incorporated
    Inventors: Walter B. Meinel, Kalin V. Lazarov, Brian E. Goodlin
  • Patent number: 8067836
    Abstract: A semiconductor device includes an insulating film including oxygen formed over a semiconductor substrate, a recess formed in the insulating film, a refractory metal film formed on the inner wall of the recess, a metal film including copper, manganese, and nitrogen formed on the refractory metal film, and a copper film formed on the metal film to fill in the recess.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: November 29, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masaki Haneda, Noriyoshi Shimizu, Nobuyuki Ohtsuka, Yoshiyuki Nakao, Michie Sunayama, Takahiro Tabira
  • Publication number: 20110287590
    Abstract: On embodiment of a contact structure may include a lower insulation layer on a lower substrate, an upper substrate on the lower insulation layer, a groove penetrating the upper substrate to extend into the lower insulation layer, the groove below an interface between the upper substrate and the lower insulation layer, an upper insulation layer in the groove, and a contact plug penetrating the upper insulation layer in the groove to extend into the lower insulation layer.
    Type: Application
    Filed: August 5, 2011
    Publication date: November 24, 2011
    Inventors: Min-Sung Song, Soon-Moon Jung, Han-Soo Kim, Young-Seop Rah, Won-Seok Cho, Yang-Soo Son, Jong-Hyuk KIm, Young-Chul Jang
  • Publication number: 20110281432
    Abstract: A line trough and a via cavity are formed within a dielectric layer comprising a fluorosilicate glass (FSG) layer. A fluorine depleted adhesion layer is formed within the line trough and the via cavity either by a plasma treatment that removes fluorine from exposed surfaces of the FSG layer, or by deposition of a substantially fluorine-free dielectric layer. Metal is deposited within the line trough and the via cavity to form a metal line and a metal via. The fluorine depleted adhesion layer provides enhanced adhesion to the metal line compared with prior art structures in which a metal line directly contacts a FSG layer. The enhanced adhesion of metal with an underlying dielectric layer provides higher resistance to delamination for a semiconductor package employing lead-free C4 balls on a metal interconnect structure.
    Type: Application
    Filed: July 27, 2011
    Publication date: November 17, 2011
    Applicant: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Emily R. Kinser
  • Patent number: 8058108
    Abstract: Various semiconductor chips and methods of making the same are disclosed. In one aspect, a method of manufacturing is provided that includes forming a first opening in an insulating layer applied to a side of a semiconductor chip. The first opening does not extend through to the side. A second opening is formed in the insulating layer that exposes a portion of the side.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: November 15, 2011
    Assignee: ATI Technologies ULC
    Inventors: Roden R. Topacio, Neil McLellan
  • Patent number: 8058095
    Abstract: Methods and devices associated with phase change cell structures are described herein. In one or more embodiments, a method of forming a phase change cell structure includes forming a substrate protrusion that includes a bottom electrode, forming a phase change material on the substrate protrusion, forming a conductive material on the phase change material, and removing a portion of the conductive material and a portion of the phase change material to form an encapsulated stack structure.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: November 15, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Jun Liu
  • Patent number: 8058732
    Abstract: Disclosed are semiconductor die structures that enable a die having a vertical power device to be packaged in a wafer-level chip scale package where the current-conducting terminals are present at one surface of the die, and where the device has very low on-state resistance. In an exemplary embodiment, a trench and an aperture are formed in a backside of a die, with the aperture contacting a conductive region at the top surface of the die. A conductive layer and/or a conductive body may be disposed on the trench and aperture to electrically couple the backside current-conducting electrode of the device to the conductive region. Also disclosed are packages and systems using a die with a die structure according to the invention, and methods of making dice with a die structure according to the invention.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: November 15, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Michael D. Gruenhagen, Suku Kim, James J. Murphy, Ihsiu Ho, Eddy Tjhia, Chung-Lin Wu, Mark Larsen, Rohit Dikshit
  • Patent number: 8053358
    Abstract: Methods of forming integrated circuit devices include upper sidewall spacers in contact holes to provide enhanced electrical isolation to contact plugs therein while maintaining relatively low contact resistance. These methods include forming an interlayer insulating layer on a semiconductor substrate. The interlayer insulating layer includes at least a first electrically insulating layer of a first material on the semiconductor substrate and a second electrically insulating layer of a second material on the first electrically insulating layer. A contact hole is formed that extends through the interlayer insulating layer and exposes a primary surface of the semiconductor substrate. This contact hole may be formed by selectively etching the second electrically insulating layer and the first electrically insulating layer in sequence and at a faster etch rate of the first material relative to the second material.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-young Lee, Sang-sup Jeong, Sung-gil Choi, Jong-chul Park, Jin-young Kim, Ki-jin Park
  • Publication number: 20110266685
    Abstract: An efficient patterning strategy may be applied when etching through a dielectric material system on the basis of two different etch chemistries. To this end, a conductive etch stop or barrier material may be formed in the opening prior to etching through the further dielectric layer of the material system, thereby substantially preserving the initial critical dimensions and avoiding etch damage. Thus, superior contact openings, via openings and the like may be formed on the basis of well-established etch chemistries.
    Type: Application
    Filed: December 9, 2010
    Publication date: November 3, 2011
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Dmytro Chumakov, Volker Grimm
  • Patent number: 8049335
    Abstract: Novel interconnect structures possessing a OSG or polymeric-based (90 nm and beyond BEOL technologies) in which advanced plasma processing is utilized to reduce post lithographic CD non-uniformity (“line edge roughness”) in semiconductor devices. The novel interconnect structure has enhanced liner and seed conformality and is therefore capable of delivering improved device performance, functionality and reliability.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: November 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Ronald A. Della Guardia, Nicholas C. Fuller
  • Patent number: 8048799
    Abstract: A method for forming copper wirings in a semiconductor device may include depositing a lower insulating film over a semiconductor substrate; forming vias in the lower insulating film; depositing tungsten over the entire surface of upper portion of the lower insulating film so that the vias are gap-filled with the tungsten; forming tungsten plugs by performing a tungsten chemical mechanical polishing process to remove excess tungsten deposited over the upper portion of the lower insulating film; removing the tungsten remaining over the upper portion of the lower insulating film by performing a tungsten etchback process; depositing an upper insulating film over the upper portion of the lower insulating film; exposing upper portions of the tungsten plugs by forming trenches on the upper insulating film; depositing copper over the entire surface of the upper insulating film so that the trenches are gap-filled with the copper; and planarizing the copper over the upper portion of the trenches.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: November 1, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kweng-Rae Cho
  • Publication number: 20110254168
    Abstract: An integrated circuit (IC) interconnect structure that includes a first via positioned in a dielectric and coupled to a high current device at one end, and a buffer metal segment positioned in a dielectric and coupled to the first via at an opposite end thereof. The buffer metal segment includes a plurality of electrically insulating inter-dielectric (ILD) pads forming an ILD cheesing pattern thereon, to direct current. The IC interconnect structure further includes a second via positioned in a dielectric formed over the buffer metal segment and coupled to the buffer metal segment at one end and a metal power line formed in a dielectric and coupled to the second via at an opposite end thereof. The use of the ILD pads on the buffer metal segment enables a more even distribution of current along the metal power line.
    Type: Application
    Filed: April 15, 2010
    Publication date: October 20, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hanyi Ding, Ronald G. Filippi, Jong-Ru Guo, Ping-Chuan Wang
  • Patent number: 8039383
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case (particularly in the latter), capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive material (e.g.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: October 18, 2011
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 8035230
    Abstract: This invention discloses a semiconductor device including an insulating film having a recess therein; an electric conductor formed inside the recess; a manganese silicate film formed on an upper surface of the conductor, the manganese silicate film being formed of a reaction product of a manganese with a silicon oxide insulating film. A method for manufacturing such a semiconductor device is also described.
    Type: Grant
    Filed: November 20, 2006
    Date of Patent: October 11, 2011
    Assignee: Sony Corporation
    Inventor: Shinichi Arakawa
  • Patent number: 8030205
    Abstract: A method for fabricating a semiconductor device includes forming an inter-layer insulation layer on a substrate; forming openings in the inter-layer insulation layer; forming a metal barrier layer in the openings and on the inter-layer insulation layer; forming a first conductive layer on the metal barrier layer and filled in the openings; etching the first conductive layer to form interconnection layers in the openings and to expose portions of the metal barrier layer, the interconnection layers being inside the openings and at a depth from a top of the openings; etching the exposed portions of the metal barrier layer to obtain a sloped profile of the metal barrier layer at top lateral portions of the openings; forming a second conductive layer over the inter-layer insulation layer, the interconnection layers and the metal barrier layer with the sloped profile; and patterning the second conductive layer to form metal lines.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: October 4, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hae-Jung Lee, Sang-Hoon Cho, Suk-Ki Kim
  • Publication number: 20110237066
    Abstract: The present invention is a method of manufacturing a semiconductor device comprising: forming a recess in an interlayer insulating film formed on a substrate surface, the recess being configured to be embedded with an upper conductive channel mainly made of copper to be electrically connected to a lower conductive channel; supplying a gas containing an organic compound of manganese, and forming a barrier layer made of a compound of manganese for preventing diffusion of copper to the interlayer insulating film, such that the barrier layer covers an exposed surface of the interlayer insulating film; after the formation of the barrier layer, supplying organic acid to the barrier layer in order to increase a ratio of manganese in the compound of manganese forming the barrier layer; after the supply of the organic acid, forming a seed layer mainly made of copper on a surface of the barrier layer; after the formation of the seed-layer, heating the substrate in order to separate out manganese from on the surface of
    Type: Application
    Filed: February 20, 2009
    Publication date: September 29, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiroshi Sato, Hitoshi Itoh, Kenji Matsumoto
  • Patent number: 8026170
    Abstract: A pattern that includes trenches of different depths is formed on a substrate using nanoimprint lithography. A subsequent metal deposition forms lines of different thicknesses according to trench depth, from a single metal layer. Vias extending down from lines are also formed from the same layer. Individual bit lines are formed having different thicknesses at different locations.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: September 27, 2011
    Assignee: SanDisk Technologies Inc.
    Inventors: Deepak Chandra Sekar, Nima Mokhlesi
  • Patent number: 8021977
    Abstract: Provided are methods of forming contact structures and semiconductor devices fabricated using the contact structures. The formation of a contact structure can include forming a first molding pattern on a substrate, forming an insulating layer to cover at least a sidewall of the first molding pattern, forming a second molding pattern to cover a sidewall of the insulating layer and spaced apart from the first molding pattern, removing a portion of the insulating layer between the first and second molding patterns to form a hole, and forming an insulating pattern between the first and second molding patterns, and forming a contact pattern in the hole.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: September 20, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Lim Park, Hyeong-Geun An, Gyu-Hwan Oh, Dong-Ho Ahn, Jin-Il Lee
  • Patent number: 8021981
    Abstract: Redistribution layers for microfeature workpieces, and associated systems and methods are disclosed. One method for processing a microfeature workpiece system includes positioning a preformed redistribution layer as a unit proximate to and spaced apart from a microfeature workpiece having an operable microfeature device. The method can further include attaching the redistribution layer to the microfeature workpiece and electrically coupling the redistribution layer to the operable microfeature device.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: September 20, 2011
    Assignee: Micron Technology, Inc.
    Inventor: David Pratt
  • Patent number: 8013423
    Abstract: A semiconductor device includes an interlayer insulating layer including a plurality of trenches connecting to a number of via holes formed on a semiconductor substrate including lower interconnections, wherein widths of the trenches are greater than widths of the via holes, and metal interconnections formed by burying metal thin films in the via holes and the trenches. Depths of the trenches are adjusted differently from each other depending on required resistances of the metal interconnections.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: September 6, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Dong-Yeal Keum
  • Patent number: 8008197
    Abstract: A method for manufacturing a semiconductor device includes forming in order a barrier film, an insulating film, a first mask, and a second mask having etching properties different from those of the first mask on a substrate, removing the insulating film, the first mask, and the second mask to form a via hole in the insulating film, removing the second mask in a wiring trench forming region including the via hole, and etching the first mask using the second mask as a mask to remove the first mask in the wiring trench forming region. Removing the first mask in the wiring trench forming region includes etching the first mask and etching the barrier film at the bottom of the via hole to partially remove the barrier film at the bottom of the via hole.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: August 30, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yoshihisa Iba
  • Patent number: 8008190
    Abstract: Disclosed is a method of manufacturing a semiconductor device which includes: providing an insulating film formed above a semiconductor substrate with a processed portion; supplying a surface of the processed portion of the insulating film with a primary reactant from a reaction of a raw material including at least a Si-containing compound; and subjecting the primary reactant to dehydration condensation to form a silicon oxide film on the surface of the processed portion.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: August 30, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuhide Yamada, Hideto Matsuyama, Hideshi Miyajima
  • Patent number: 8008185
    Abstract: A method of forming a semiconductor device includes forming line patterns on a substrate, the line patterns defining narrow and wide gap regions, forming spacer patterns in the narrow and wide gap regions on sidewalls of the line patterns, spacer patterns in the wide gap regions exposing an upper surface of the substrate, and spacer patterns in the narrow gap regions contacting each other to fill the narrow gap regions, forming an insulating interlayer to cover the spacer patterns and the line patterns, forming at least one opening through the insulating interlayer, the opening including at least one contact hole selectively exposing the upper surface of the substrate in the wide gap region, the contact hole being formed by using the spacer patterns in the narrow gap region as an etching mask, and forming a conductive pattern to fill the opening.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: August 30, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sun-Young Kim
  • Patent number: 8008200
    Abstract: A method of forming a dual damascene structure is disclosed. A lower dielectric hardmask layer and an upper dielectric hardmask layer are deposited on an ultra low-k film. A first via is formed in the upper hardmask layer. Next, a first trench is formed using a tri-layer resist scheme. Finally, a full via and a full trench are formed simultaneously. An optional etch-stop layer can be used in the ultra low-k layer to control trench depth.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: August 30, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, William W. Dostalik, Yong Seok Choi
  • Patent number: 8008161
    Abstract: A method for fabricating a capacitor arrangement which includes at least three electrodes is described. The capacitor arrangement is fabricated using a number of lithography methods that is smaller than the number of electrodes. A capacitor arrangement extending over more than two or more interlayers between metallization layers has a high capacitance per unit area and can be fabricated in a simple way is also described. The circuit arrangement has a high capacitance per unit area and can be fabricated in a simple way. An electrode layer is first patterned using a dry-etching process and residues of the electrode layer are removed using a wet-chemical process, making it possible to fabricate capacitors with excellent electrical properties.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: August 30, 2011
    Assignee: Infineon Technologies AG
    Inventors: Jens Bachmann, Bernd Föste, Klaus Goller, Jakob Kriz
  • Publication number: 20110207316
    Abstract: Void boundary structures, semiconductor devices having the void boundary structures, and methods of forming the same are provided. The structures, semiconductor devices and methods present a way for reducing parasitic capacitance between interconnections by forming a void between the interconnections. The interconnections may be formed on a semiconductor substrate. An upper width of each of the interconnections may be wider than a lower width thereof. A molding layer encompassing the interconnections may be formed. A void boundary layer covering the molding layer may be formed to define the void between the interconnections.
    Type: Application
    Filed: May 2, 2011
    Publication date: August 25, 2011
    Inventors: Cheong-Sik Yu, Kyung-Tae Lee