By Forming Via Holes (epo) Patents (Class 257/E21.577)
  • Publication number: 20130023118
    Abstract: There is provided a method for forming a pattern comprising, forming a first layer on an underlying layer including a substrate, forming a first mask pattern including a first opening pattern on the first layer, and forming a second mask pattern including a second opening pattern on the first mask pattern, wherein the second opening pattern includes a first region overlapping the first opening pattern and a second region not overlapping the first opening pattern, and etching is performed using the second mask pattern such that a third opening pattern corresponding to the first region and exposing an upper surface of the underlying layer is formed in the first layer, and a fourth opening pattern corresponding to the second region is formed in the first mask pattern.
    Type: Application
    Filed: June 11, 2012
    Publication date: January 24, 2013
    Inventors: Soo-Yeon Jeong, Dong-Kwon Kim, Do-Hyoung Kim, Myeong-Cheol Kim
  • Publication number: 20130015497
    Abstract: An S/D region including a first region and a second region is provided. The first region is located, with at least a partial thickness, in the substrate. The second region is formed on the first region and made of a material different from that of the first region. A method for forming an S/D region is further provided, and the method includes: forming trenches at both sides of a gate stack structure in a substrate; forming a first semiconductor layer, wherein at least a part of the first semiconductor layer is filled into the trenches; and forming a second semiconductor layer on the first semiconductor layer, wherein the second semiconductor layer is made of a material different from that of the first semiconductor layer. A contact hole and a forming method thereof are also provided which may increase the contact area between a contact hole and a contact region, and reduce the contact resistance.
    Type: Application
    Filed: February 18, 2011
    Publication date: January 17, 2013
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Publication number: 20130009322
    Abstract: A TSV structure suitable for high speed signal transmission includes a metal strip portion that extends through a long and small diameter hole in a substrate. In one example, the metal strip portion is formed by laser ablating away portions of a metal sheath that lines a cylindrical sidewall of the hole, thereby leaving a longitudinal section of metal that is the metal strip portion. A second metal strip portion, that extends in a direction perpendicular to the hole axis, is contiguous with the metal strip portion that extends through the hole such that the two metal strip portions together form a single metal strip. Throughout its length, the single metal strip has a uniform width and thickness and therefore can have a controlled and uniform impedance. In some embodiments, multiple metal strips pass through the same TSV hole. In some embodiments, the structure is a coaxial TSV.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 10, 2013
    Applicant: Research Triangle Institute
    Inventors: Robert O. Conn, David F. Myers, Daniel S. Stevenson
  • Publication number: 20130009305
    Abstract: A semiconductor device having a first via and a first interconnect supplying a high current is provided in which a first surface having the first via and the first interconnect is planar. The semiconductor device has a first via penetrating a first substrate from a first surface of the first substrate and a first interconnect buried in the first surface of the first substrate and connected with one end of at least one first via. The first via has an inclined portion where an angle formed between a lateral side of the first via and the bottom of the first via is larger than an angle formed between a lateral side of the first interconnect and the bottom of the first interconnect.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 10, 2013
    Inventor: Daisuke OSHIDA
  • Publication number: 20130009325
    Abstract: A semiconductor element-embedded substrate includes a semiconductor element; a chip component; a peripheral insulating layer covering at least the outer circumferential side surfaces thereof; an upper surface-side wiring line provided on the upper surface side of the substrate; and a lower surface-side wiring line provided on the lower surface side of the substrate. The built-in semiconductor element includes a terminal on the upper surface side thereof, and this terminal is electrically connected to the upper surface-side wiring line. The built-in chip component includes an upper surface-side terminal electrically connected to the upper surface-side wiring line; a lower surface-side terminal electrically connected to the lower surface-side wiring line; and a through-chip via penetrating through the chip component to connect the upper surface-side terminal and the lower surface-side terminal.
    Type: Application
    Filed: January 25, 2011
    Publication date: January 10, 2013
    Applicant: NEC CORPORATION
    Inventors: Kentaro Mori, Shintaro Yamamichi, Hideya Murai, Katsumi Kikuchi, Yoshiki Nakashima, Daisuke Ohshima
  • Patent number: 8349724
    Abstract: Methods for improving electromigration of copper interconnection structures are provided. In one embodiment, a method of annealing a microelectronic device including forming microelectronic features on a substrate, forming a contact structure over the microelectronic features, and forming a copper interconnection structure over the contact structure. A passivation layer is deposited over the copper interconnection structure and the substrate is subjected to a first anneal at a temperature of about 350° C. to 400° C. for a time duration between about 30 minutes to about 1 hour. The substrate is subjected to a second anneal at a temperature of about 150° C. to 300° C. for a time duration between about 24 to about 400 hours.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Jick M. Yu
  • Patent number: 8349725
    Abstract: The present invention is a method of manufacturing a semiconductor device comprising: forming a recess in an interlayer insulating film formed on a substrate surface, the recess being configured to be embedded with an upper conductive channel mainly made of copper to be electrically connected to a lower conductive channel; supplying a gas containing an organic compound of manganese, and forming a barrier layer made of a compound of manganese for preventing diffusion of copper to the interlayer insulating film, such that the barrier layer covers an exposed surface of the interlayer insulating film; after the formation of the barrier layer, supplying organic acid to the barrier layer in order to increase a ratio of manganese in the compound of manganese forming the barrier layer; after the supply of the organic acid, forming a seed layer mainly made of copper on a surface of the barrier layer; after the formation of the seed-layer, heating the substrate in order to separate out manganese from on the surface of
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: January 8, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Sato, Hitoshi Itoh, Kenji Matsumoto
  • Publication number: 20130005141
    Abstract: A semiconductor device can include an insulation layer on that is on a substrate on which a plurality of lower conductive structures are formed, where the insulation layer has an opening. A barrier layer is on a sidewall and a bottom of the opening of the insulation layer, where the barrier layer includes a first barrier layer in which a constituent of a first deoxidizing material is richer than a metal material in the first barrier layer and a second barrier layer in which a metal material in the second barrier layer is richer than a constituent of a second deoxidizing material. An interconnection is in the opening of which the sidewall and the bottom are covered with the barrier layer, the interconnection is electrically connected to the lower conductive structure.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 3, 2013
    Inventors: Jin-Ho Park, Gil-Heyun Choi, Byung-Lyul Park, Jong-Myeong Lee, Zung-Sun Choi, Hye-Kyung Jung
  • Patent number: 8343866
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions the masking layer inhibits formation of capping layer material on the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case, capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive, a semiconductor material, or an electrically insulative material, and can be formed using any appropriate process, including conventional deposition processes such as electroless deposition, chemical vapor deposition, physical vapor deposition or atomic layer deposition.
    Type: Grant
    Filed: October 3, 2011
    Date of Patent: January 1, 2013
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 8343874
    Abstract: In a semiconductor device manufacturing method, on a film to be processed, a mask material film is formed which has pattern openings for a plurality of contact patterns and connection openings for connecting adjacent pattern openings in such a manner that the connection between them is constricted in the middle. Then, a sidewall film is formed on the sidewalls of the individual openings in the mask material film, thereby not only making the diameter of the pattern openings smaller but also separating adjacent pattern openings. Then, the film to be processed is selectively etched with the mask material film and sidewall film as a mask, thereby making contact holes.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: January 1, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shinya Watanabe
  • Publication number: 20120326328
    Abstract: A method for manufacturing a semiconductor device includes providing a substrate having a first surface and a second surface, the second surface is on the opposite side of the substrate facing away from the first surface. The method further includes forming a first portion of an opening by etching a portion of the substrate from the first surface, forming a buffer layer on an inner surface of the first portion, etching a bottom of the buffer layer to expose an area of the underlying substrate, and etching the exposed area of the substrate to form a second portion of the opening. The method also includes performing an isotropic etching on the second portion of the opening to obtain a flask-shaped opening and filling the opening with a filling material. The method also includes partially removing a portion of the second surface and the filling material from the second portion of the opening.
    Type: Application
    Filed: November 8, 2011
    Publication date: December 27, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: FAN LI, Haiyang Zhang
  • Publication number: 20120329277
    Abstract: Deep via trenches and deep marker trenches are formed in a bulk substrate and filled with a conductive material to form deep conductive vias and deep marker vias. At least one first semiconductor device is formed on the first surface of the bulk substrate. A disposable dielectric capping layer and a disposable material layer are formed over the first surface of the bulk substrate. The second surface, located on the opposite side of the first surface, of the bulk substrate is polished to expose and planarize the deep conductive vias and deep marker vias, which become through-substrate vias and through-substrate alignment markers, respectively. At least one second semiconductor device and second metal interconnect structures are formed on the second surface of the bulk substrate. The disposable material layer and the disposable dielectric capping layer are removed and first metal interconnect structures are formed on the first surface.
    Type: Application
    Filed: September 8, 2012
    Publication date: December 27, 2012
    Applicant: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 8338254
    Abstract: A semiconductor device including vertical field effect transistors may comprise a buried insulating film stacked on a semiconductor substrate and spaced apart first and second active regions vertically penetrating the buried insulating film. The active regions and the buried insulating film are covered with an interlayer insulating film. An upper interconnection is disposed in the interlayer insulating film. A gate electrode extends from a part of the upper interconnection into the buried insulating film between the first and second active regions. A protective film pattern is disposed to cover a top surface of the upper interconnection. First and second buried contact electrodes penetrating the interlayer insulating film to be in contact with top surfaces of the first and second active regions are provided. Related manufacturing methods are also described.
    Type: Grant
    Filed: October 11, 2011
    Date of Patent: December 25, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jongchul Park, Jinyoung Kim, Sangsup Jeong
  • Publication number: 20120319282
    Abstract: Methods and apparatus for forming a semiconductor device are provided which may include any number of features. One feature is a method of forming an interconnect structure that results in the interconnect structure having a top surface and portions of the side walls of the interconnect structure covered in a dissimilar material. In some embodiments, the dissimilar material can be a conductive material or a nano-alloy. The interconnect structure can be formed by removing a portion of the interconnect structure, and covering the interconnect structure with the dissimilar material. The interconnect structure can comprise a damascene structure, such as a single or dual damascene structure, or alternatively, can comprise a silicon-through via (TSV) structure.
    Type: Application
    Filed: June 20, 2011
    Publication date: December 20, 2012
    Applicant: Tessera, Inc.
    Inventors: Cyprian Uzoh, Belgacem Haba, Craig Mitchell
  • Patent number: 8334204
    Abstract: The present invention relates to a manufacturing method for a semiconductor device, the method includes a process for forming an interlayer film on a substrate, a process for forming an opening in the interlayer, a process for forming a conductive layer which fills the opening, and a process for forming a cap film on the surface of the conductive layer. In the process for forming the cap film, a reduction process for the surface of the conductive layer and the forming of the film are performed simultaneously.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: December 18, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Takaaki Matsuoka, Shinji Ide, Yoshiyuki Kikuchi
  • Publication number: 20120313176
    Abstract: Generally, the subject matter disclosed herein relates to modern sophisticated semiconductor devices and methods for forming the same, wherein electrical interconnects between circuit elements based on a buried sublevel metallization may provide improved transistor density. One illustrative method disclosed herein includes forming a contact dielectric layer above first and second transistor elements of a semiconductor device, and after forming the contact dielectric layer, forming a buried conductive element below an upper surface of the contact dielectric layer, the conductive element providing an electrical connection between the first and second transistor elements.
    Type: Application
    Filed: June 7, 2011
    Publication date: December 13, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Kai Frohberg, Dominik Olligs, Jens Heinrich, Katrin Reiche
  • Patent number: 8329529
    Abstract: A method for fabricating an integrated circuit device includes providing a semiconductor substrate having a first region and a second region, e.g., peripheral region. The method forms a stop layer overlying the first and second regions and a low k dielectric layer (e.g., k<2.9) overlying the stop layer in the first and second regions. The method forms a cap layer overlying the low k dielectric layer. In an embodiment, the method initiates formation of a plurality of via structures within a first portion of the low k dielectric layer overlying the first region and simultaneously initiates formation of an isolated via structure for in the second region of the semiconductor substrate, using one or more etching processes. The method includes ceasing formation of the plurality of via structures within the first portion and ceasing formation of the isolated via structure in the second region when one or more portions of stop layer have been exposed.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: December 11, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Man Hua Chen, Lien Hung Cheng
  • Patent number: 8324003
    Abstract: A thin film transistor display panel includes gate wiring formed on an insulation substrate and including gate lines, and gate electrodes and gate pads connected to the gate lines; a gate insulation layer covering the gate wiring; a semiconductor pattern formed over the gate insulation layer; data wiring formed over the gate insulation layer or the semiconductor pattern and including source electrodes, drain electrodes, and data pads; a protection layer including a Nega-PR type of organic insulating layer formed all over the semiconductor pattern and the data wiring, wherein the thickness of the Nega-PR type of organic insulating layer in both the gate and data pad regions is smaller than in the other regions; and a pixel electrode connected to the drain electrode. When exposing the Nega-PR type of passivation layer in the pad region during a photolithography process, a photomask having a lattice pattern made of a metal such as Cr that has a line width of less than the resolution of a light exposer is used.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: December 4, 2012
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hoon Kang, Jin-Ho Ju, Yang-Ho Jung, Jae-Sung Kim
  • Patent number: 8324100
    Abstract: Methods of forming a conductive via may include forming a blind via hole partially through a substrate, forming an aluminum film on surfaces of the substrate, removing a first portion of the aluminum film from some surfaces, selectively depositing conductive material onto a second portion of the aluminum film, and exposing the blind via hole through a back side of the substrate. Methods of fabricating a conductive via may include forming at least one via hole through at least one unplated bond pad, forming a first adhesive over at least one surface of the at least one via hole, forming a dielectric over the first adhesive, forming a base layer over the dielectric and the at least one unplated bond pad, and plating nickel onto the base layer.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: December 4, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, William Mark Hiatt, Steven Oliver, Alan G. Wood, Sidney B. Rigg, James M. Wark, Kyle K. Kirby
  • Publication number: 20120302012
    Abstract: A packaging substrate with an embedded semiconductor component and a method of fabricating the same are provided, including: fixing a semiconductor chip with electrode pads to an assisting layer with apertures through an adhesive member, wherein each of the electrode pads has a bump formed thereon, each of the apertures is filled with a filling material, and the bumps correspond to the apertures, respectively; forming a first dielectric layer on the assisting layer to encapsulate the semiconductor chip; removing the bumps and the filling material to form vias; and forming a first wiring layer on the first dielectric layer and forming first conductive vias in the vias to provide electrical connections between the electrode pads and the first wiring layer, wherein the first wiring layer comprises a plurality of conductive lands formed right on the first conductive vias, respectively.
    Type: Application
    Filed: August 10, 2012
    Publication date: November 29, 2012
    Applicant: UNIMICRON TECHNOLOGY CORPORATION
    Inventor: Zhao Chong Zeng
  • Publication number: 20120302057
    Abstract: A method for patterning self-aligned vias in a dielectric. The method includes forming a first trench partially through a hard mask, where the trench corresponds to a desired wiring path in the dielectric. The trench should be formed on a sub-lithographic scale. Then, form a second trench, also of a sub-lithographic scale, that intersects the first trench. The intersection forms a pattern extending through the depth of the hard mask, and corresponds to a via hole in the dielectric. The via hole is etched into the dielectric through the hard mask. Then the first trench is extended through the hard mask and the exposed area is etched to form the wiring path, which intersects the via hole. Conductive material is deposited to form a sub-lithographic via and wiring. This method may be used to form multiple vias of sub-lithographic proportions and with a sub-lithographic pitch.
    Type: Application
    Filed: July 26, 2012
    Publication date: November 29, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Christopher Arnold, Sean D. Burns, Sivananda K. Kanakasabapathy, Yunpeng Yin
  • Patent number: 8318599
    Abstract: The resin layer formation method comprises the step of forming on a substrate 10 a resin layer 34 for containing a substance for decreasing the thermal expansion coefficient to thereby forming a resin layer 34 having said substance localized in the side thereof nearer to the substrate 10; and the step of cutting the surface of the resin layer 34 with a cutting tool 40 to planarize the surface of the resin layer 34. The resin layer 34 as said substance for decreasing the thermal expansion coefficient localized in the side thereof nearer to the substrate 10, and the surface of the resin layer 34 is cut to planarize the surface of the resin layer 34, whereby the extreme abrasion and breakage of the cutting tool 40 by said substance for decreasing the thermal expansion coefficient can be prevented.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: November 27, 2012
    Assignee: Fujitsu Limited
    Inventors: Kanae Nakagawa, Motoaki Tani
  • Patent number: 8318598
    Abstract: A contact element may be formed on the basis of a hard mask, which may be patterned on the basis of a first resist mask and on the basis of a second resist mask, to define an appropriate intersection area which may represent the final design dimensions of the contact element. Consequently, each of the resist masks may be formed on the basis of a photolithography process with less restrictive constraints, since at least one of the lateral dimensions may be selected as a non-critical dimension in each of the two resist masks.
    Type: Grant
    Filed: August 7, 2009
    Date of Patent: November 27, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sven Beyer, Kai Frohberg, Katrin Reiche, Kerstin Ruttloff
  • Publication number: 20120286335
    Abstract: A semiconductor device and a manufacturing method thereof are disclosed. The method comprises: providing a substrate with a first dielectric layer and a gate, wherein the gate is embedded in the first dielectric layer and an upper portion of the gate is an exposed first metal; and covering only the exposed first metal with a conductive material that is harder to be oxidized than the first metal by a selective deposition. An advantage of the present invention is that the metal of the upper surface of the gate is prevented from being oxidized by covering the metal gate with the conductive material that is relatively harder to be oxidized, thereby facilitating the formation of an effective electrical connection to the gate.
    Type: Application
    Filed: September 22, 2011
    Publication date: November 15, 2012
    Inventors: YIYING ZHANG, Qiyang He
  • Patent number: 8310065
    Abstract: A fabrication method of a wafer structure includes: providing a substrate having a plurality of die regions and an edge region surrounding the die regions defined thereon; then, forming a dielectric layer, a plurality of MEMS devices, a plurality of metal-interconnect structures and a plurality bonding pads on the substrate in the die regions; next, removing the dielectric layer disposed on the substrate of the edge region to expose the substrate; and thereafter, forming a passivation layer to cover the substrate and the dielectric layer.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 13, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Hui-Min Wu, Bang-Chiang Lan, Chien-Hsin Huang, Kuan-Yu Wang, Chao-An Su, Tzung-I Su
  • Patent number: 8310048
    Abstract: Microelectronic devices and methods for manufacturing microelectronic devices are disclosed herein. An embodiment of one such method includes forming a plurality of through holes in a substrate with the through holes arranged in arrays, and attaching a plurality of singulated microelectronic dies to the substrate with an active side of the individual dies facing toward the substrate and with a plurality of terminals on the active side of the individual dies aligned with corresponding holes in the substrate. The singulated dies are attached to the substrate after forming the holes in the substrate.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: November 13, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Teck Kheng Lee
  • Publication number: 20120282736
    Abstract: In a method of manufacturing a semiconductor device, a front end of line (FEOL) process may be performed on a semiconductor substrate to form a semiconductor structure. A back end of line (BEOL) process may be performed on the semiconductor substrate to form a wiring structure electrically connected to the semiconductor structure, thereby formed a semiconductor chip. A hole may be formed through a part of the semiconductor chip. A preliminary plug may have a dimple in the hole. The preliminary plug may be expanded into the dimple by a thermal treatment process to form a plug. Thus, the plug may not have a protrusion protruding from the upper surface of the semiconductor chip, so that the plug may be formed by the single CMP process.
    Type: Application
    Filed: April 30, 2012
    Publication date: November 8, 2012
    Inventors: Kwang-Jin MOON, Byung-Lyul PARK, Do-Sun LEE, Gil-Heyun CHOI, Suk-Chul BANG, Dong-Chan LIM, Deok-Young JUNG
  • Publication number: 20120282751
    Abstract: A method of fabricating an integrated circuit device includes forming first and second patterns extending in first and second directions, respectively, on a target layer. The first patterns comprise a metal oxide and/or metal silicate material having an etch selectivity with respect to that of the target layer. The second patterns comprise a material having an etch selectivity with respect to those of the first patterns and the target layer. The target layer is selectively etched using the first patterns and the second patterns as an etch mask to define holes respectively extending through the target layer to expose a layer therebelow. At least one of the first and second patterns is formed using respective mask patterns formed by a photolithographic process, and the at least one of the first and second patterns have a finer pitch than that of the respective mask patterns.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 8, 2012
    Inventors: Gyu-hwan OH, Doo-hwan Park, Dong-hyun Im, Kyung-min Chung
  • Publication number: 20120280402
    Abstract: A plurality of semiconductor die is mounted to a carrier separated by a peripheral region. An insulating material is deposited in the peripheral region. A first opening is formed in the insulating material of the peripheral region to a first depth. A second opening is formed in the insulating material of the peripheral region centered over the first opening to a second depth less than the first depth. The first and second openings constitute a composite through organic via (TOV) having a first width in a vertical region of the first opening and a second width in a vertical region of the second opening. The second width is different than the first width. A conductive material is deposited in the composite TOV to form a conductive TOV. An organic solderability preservative (OSP) coating is formed over a contact surface of the conductive TOV.
    Type: Application
    Filed: July 19, 2012
    Publication date: November 8, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Reza A. Pagaila, Byung Tai Do, Shuangwu Huang
  • Publication number: 20120280391
    Abstract: A conductive pattern structure includes a first insulating interlayer on a substrate, metal wiring on the first insulating interlayer, a second insulating interlayer on the metal wiring, and first and second metal contacts extending through the second insulating interlayer. The first metal contacts contact the metal wiring in a cell region and the second metal contact contacts the metal wiring in a peripheral region. A third insulating interlayer is disposed on the second insulating interlayer. Conductive segments extend through the third insulating interlayer in the cell region and contact the first metal contacts. Another conductive segment extends through the third insulating interlayer in the peripheral region and contacts the second metal contact. The structure facilitates the forming of uniformly thick wiring in the cell region using an electroplating process.
    Type: Application
    Filed: April 5, 2012
    Publication date: November 8, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: HEI-SEUNG KIM, GIL-HEYUN CHOI, JI-SOON PARK, JONG-MYEONG LEE
  • Patent number: 8304262
    Abstract: A method for etching features in an etch layer. A conditioning for a patterned pseudo-hardmask of amorphous carbon or polysilicon disposed over the etch layer is provided, where the conditioning comprises providing a fluorine free deposition gas comprising a hydrocarbon gas, forming a plasma from the fluorine free deposition gas, providing a bias less than 500 volts, and forming a deposition on top of the patterned pseudo-hardmask. The etch layer is etched through the patterned pseudo-hardmask.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: November 6, 2012
    Assignee: Lam Research Corporation
    Inventors: Ben-Li Sheu, Rajinder Dhindsa, Vinay Pohray, Eric A. Hudson, Andrew D. Bailey, III
  • Publication number: 20120273958
    Abstract: A multilayer interconnect structure is formed by, providing a substrate (40) having thereon a first dielectric (50, 27) for supporting a multi-layer interconnection (39) having lower conductor MN (22, 23), upper conductor MN+1 (34, 35), dielectric interlayer (DIL) (68) and interconnecting via conductor VN+1/N (36, 36?). The lower conductor MN (22, 23) has a first upper surface (61) located in a recess below a second upper surface (56) of the first dielectric (50, 27). The DIL (68) is formed above the first (61) and second (56) surfaces. A cavity (1263) is etched through the DIL (68) from a desired location (122) of the upper conductor MN+1 (34), exposing the first surface (61). The cavity (1263) is filled with a further electrical conductor (80) to form the upper conductor MN+1 (34) and the connecting via conductor VN+1/N (36, 36?) making electrical contact with the first upper surface (61).
    Type: Application
    Filed: April 28, 2011
    Publication date: November 1, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Ryoung-Han KIM
  • Publication number: 20120273964
    Abstract: A manufacturing method of a semiconductor device includes: forming an insulating layer above a substrate; forming a recessed section in the insulating layer; forming, on the insulating layer, a mask pattern having a first opening which exposes the recessed section, and a second opening which is arranged outside the first opening and does not expose the recessed section; forming a first conductive member and a second conductive member by respectively depositing a conductive material in the first opening and the second opening; and polishing and removing the first conductive member and the second conductive member on the upper side of the insulating layer so as to leave the first conductive member in the recessed section.
    Type: Application
    Filed: March 22, 2012
    Publication date: November 1, 2012
    Applicant: Fujitsu Limited
    Inventors: Tsuyoshi KANKI, Shoichi Suda, Shinya Sasaki
  • Patent number: 8298941
    Abstract: A method of manufacturing a semiconductor device includes, but is not limited to, the following processes. A seed layer is formed over a substrate. The seed layer includes first, second, and third portions. A first electrode covering the first portion of the seed layer is formed without forming an electrode on the second and third portions of the seed layer. The third portion of the seed layer is removed so that the first and second portions remain over the substrate, and the first and second portions are separated from each other.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: October 30, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Masahiro Yamaguchi
  • Patent number: 8298932
    Abstract: The present invention relates to a method for producing a vertical interconnect structure, a memory device and an associated production method, in which case, after the formation of a contact region in a carrier substrate a catalyst is produced on the contact region and a free-standing electrically conductive nanoelement is subsequently formed between the catalyst and the contact region and embedded in a dielectric layer.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: October 30, 2012
    Assignee: Infineon Technologies AG
    Inventors: Martin Gutsche, Franz Kreupl, Harald Seidl
  • Publication number: 20120270390
    Abstract: In a semiconductor device, capacitance between copper interconnections is decreased and the insulation breakdown is improved simultaneously, and a countermeasure is taken for misalignment via by a manufacturing method including the steps of forming an interconnection containing copper as a main ingredient in an insulative film above a substrate, forming insulative films and a barrier insulative film for a reservoir pattern, forming an insulative film capable of suppressing or preventing copper from diffusing on the upper surface and on the lateral surface of the interconnection and above the insulative film and the insulative film, forming insulative films of low dielectric constant, in which the insulative film is formed such that the deposition rate above the opposing lateral surfaces of the interconnections is larger than the deposition rate therebelow to form an air gap between the adjacent interconnections and, finally, planarizing the insulative film by interlayer CMP.
    Type: Application
    Filed: July 3, 2012
    Publication date: October 25, 2012
    Applicant: Hitachi, Ltd.
    Inventors: Junji Noguchi, Takashi Matsumoto, Takayuki Oshima, Toshihiko Onozuka
  • Patent number: 8293644
    Abstract: Methods of forming a semiconductor include forming an insulation layer over a semiconductor substrate in which a first region and a second region are defined. A storage node contact (SNC) that passes through the insulation layer is formed and is electrically connected to the first region. A conductive layer that passes through the insulation layer is deposited and is electrically connected to the second region on the insulation layer and the SNC. A bit line is formed by removing an upper portion of the conductive layer, an upper portion of the insulation layer and an upper portion of the SNC until the SNC and the conductive layer are electrically separated from each other, wherein the bit line is a remaining part of the conductive layer.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: October 23, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Se-myeong Jang, Min-sung Kang
  • Patent number: 8293642
    Abstract: A method of manufacturing semiconductor devices includes forming a dielectric interlayer over a semiconductor substrate, wherein a wet etch rate (WER) is faster in an upper part of the dielectric interlayer than in a lower part of the dielectric interlayer, forming trenches in the dielectric interlayer, performing a cleaning process to make a width of an opening portion in an upper part of each of the trenches wider than a width of an opening portion in lower part of the trench, and filling the trenches with a metal layer.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: October 23, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Deok Kim
  • Publication number: 20120264287
    Abstract: A method for forming an interconnect structure includes providing a semiconductor substrate having a barrier layer, a low dielectric constant (Low K) inter-dielectric layer and a cap dielectric layer sequentially formed thereon; etching the cap dielectric layer and the Low K inter-dielectric layer sequentially until the barrier layer is exposed and a groove is formed; removing the cap dielectric layer until the Low K inter-dielectric layer is exposed; and doping a carbon element into the Low K inter-dielectric layer. The advantages of the method includes a decrease of the dielectric constant of the Low K inter-dielectric layer, thus, reduces the resistive-capacitive (RC) delay of interconnect layers of a semiconductor device and improve its operating speed and performance.
    Type: Application
    Filed: August 11, 2011
    Publication date: October 18, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: MING ZHOU, Yonggen He
  • Publication number: 20120264289
    Abstract: An integrated circuit (IC) interconnect structure that includes a first via positioned in a dielectric and coupled to a high current device at one end, and a buffer metal segment positioned in a dielectric and coupled to the first via at an opposite end thereof. The buffer metal segment includes a plurality of electrically insulating inter-dielectric (ILD) pads forming an ILD cheesing pattern thereon, to direct current. The IC interconnect structure further includes a second via positioned in a dielectric formed over the buffer metal segment and coupled to the buffer metal segment at one end and a metal power line formed in a dielectric and coupled to the second via at an opposite end thereof. The use of the ILD pads on the buffer metal segment enables a more even distribution of current along the metal power line.
    Type: Application
    Filed: June 22, 2012
    Publication date: October 18, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hanyi Ding, Ronald G. Filippi, Jong-Ru Guo, Ping-Chuan Wang
  • Publication number: 20120261831
    Abstract: According to one embodiment, an integrated circuit device includes a plurality of interconnects and a contact via. The plurality of interconnects are arranged parallel to each other. The contact via is connected to the each of the interconnects. A protrusion is formed at a portion of each of the interconnects connected to the contact via to protrude in a direction of the arrangement. A recess is formed at a portion of the each of the interconnects separated from the portion having the protrusion to recede in the direction. The protrusion formed on one interconnect of two mutually-adjacent interconnects among the plurality of interconnects is opposed to the recess formed in one other interconnect of the two mutually-adjacent interconnects. The portion having the recess is separated from portions on two sides thereof and is separated also from the portion having the protrusion.
    Type: Application
    Filed: September 20, 2011
    Publication date: October 18, 2012
    Inventor: Gaku SUDO
  • Publication number: 20120258590
    Abstract: A method includes forming conductive material in a contact hole and a TSV opening, and then performing one step to remove portions of the conductive material outside the contact hole and the TSV opening to leave the conductive material in the contact hole and the TSV opening, thereby forming a contact plug and a TSV structure, respectively. In some embodiments, the removing step is performed by a CMP process.
    Type: Application
    Filed: June 19, 2012
    Publication date: October 11, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Fa CHEN, I-Ching LIN
  • Publication number: 20120256280
    Abstract: A fingerprint sensor package, including a sensing side for sensing fingerprint information and a separate connection side for electrically connecting the fingerprint sensor package to a host device, is disclosed. The fingerprint sensor package can also include a sensor integrated circuit facing the sensing side and substantially surrounded by a fill material. The fill material includes vias at peripheral locations around the sensor integrated circuit. The fingerprint sensor package can further include a redistribution layer on the sensing side which redistributes connections of the sensor integrated circuit to the vias. The connections can further be directed through the vias to a ball grid array on the connection side. Some aspects also include electrostatic discharge traces positioned at least partially around a perimeter of the connection side. Methods of manufacturing arc also disclosed.
    Type: Application
    Filed: March 14, 2012
    Publication date: October 11, 2012
    Inventors: Richard Alexander Erhart, Richard Brian Nelson
  • Patent number: 8283788
    Abstract: Method of fabricating thin-film transistors in which contact with connecting electrodes becomes reliable. When contact holes are formed, the bottom insulating layer is subjected to a wet etching process, thus producing undercuttings inside the contact holes. In order to remove the undercuttings, a light etching process is carried out to widen the contact holes. Thus, tapering section are obtained, and the covering of connection wiring is improved.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: October 9, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hongyong Zhang
  • Publication number: 20120248609
    Abstract: An object of the invention is to fully fill a wiring material in via holes formed in a low-hardness interlayer insulating film and a high-hardness interlayer insulating film, respectively, upon forming a Cu wiring in interlayer insulating films by using the dual damascene process. According to the invention, a second interlayer insulating film has therein both a wiring trench and a via hole. The via hole has, at the opening portion thereof, a recess portion having a tapered cross-sectional shape. It is formed by causing the second interlayer insulating film to retreat obliquely downward. The diameter of the opening portion of the via hole therefore becomes greater than the diameter of a region below the opening portion and it becomes possible to fully fill a wiring material in the via hole even if the via hole has a fine diameter.
    Type: Application
    Filed: February 14, 2012
    Publication date: October 4, 2012
    Inventor: Kazuo TOMITA
  • Publication number: 20120252153
    Abstract: Some embodiments include methods of forming semiconductor constructions in which a semiconductor material sidewall is along an opening, a protective organic material is over at least one semiconductor material surface, and the semiconductor material sidewall and protective organic material are both exposed to an etch utilizing at least one fluorine-containing composition. The etch is selective for the semiconductor material relative to the organic material, and reduces sharpness of at least one projection along the semiconductor material sidewall. In some embodiments, the opening is a through wafer opening, and subsequent processing forms one or more materials within such through wafer opening to form a through wafer interconnect. In some embodiments, the opening extends to a sensor array, and the protective organic material is comprised by a microlens system over the sensor array. Subsequent processing may form a macrolens structure across the opening.
    Type: Application
    Filed: June 12, 2012
    Publication date: October 4, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Swarnal Borthakur, Richard L. Stocks
  • Publication number: 20120241834
    Abstract: According to one embodiment, a semiconductor device includes interconnects extending from a element formation area to the drawing area, and connected with semiconductor elements in the element formation area and connected with contacts in the drawing area. The interconnects are formed based on a pattern of a (n+1)th second sidewall film matching a pattern of a nth (where n is an integer of 1 or more) first sidewall film on a lateral surface of a sacrificial layer. A first dimension matching an interconnect width of the interconnects and an interconnects interval in the element formation area is (k1/2n)×(?/NA) or less when an exposure wavelength of an exposure device is ?, a numerical aperture of a lens of the exposure device is NA and a process parameter is k1. A second dimension matching an interconnect interval in the drawing area is greater than the first dimension.
    Type: Application
    Filed: September 15, 2011
    Publication date: September 27, 2012
    Inventors: Fumiharu Nakajima, Toshiya Kotani, Hiromitsu Mashita, Takafumi Taguchi, Ryota Aburada, Chikaaki Kodama
  • Publication number: 20120241901
    Abstract: A method for forming a device is disclosed. A support substrate having first and second major surfaces is provided. An interconnect is formed through the first and second major surfaces in the support substrate. The interconnect has first and second portions. The first portion extends from one of the first or second major surfaces and the second portion extends from the other of the first and second major surfaces. The interconnect includes a partial via plug having a conductive material in a first portion of the interconnect. The via plug has a bottom at about an interface of the first and second portions. The second portion of the interconnect is heavily doped with dopants of a first polarity type.
    Type: Application
    Filed: March 21, 2011
    Publication date: September 27, 2012
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Rama Krishna KOTLANKA, Rakesh KUMAR, Premachandran CHIRAYARIKATHUVEEDU SANKARAPILLAI, Pradeep Ramachandramurthy YELEHANKA
  • Patent number: 8268710
    Abstract: A method for fabricating a semiconductor device includes providing a semiconductor substrate including a memory cell region and peripheral circuit regions. Gate electrodes including gate conductive patterns and capping patterns are formed on the memory cell region and the peripheral circuit regions. An interlayer dielectric covering the gate electrodes is formed. The interlayer dielectric is patterned to form first contact holes exposing the semiconductor substrate along side of the gate electrode in the memory cell region and second contact holes exposing a portion of the capping pattern in the peripheral circuit region such that a bottom surface of the second contact hole is spaced apart from a top surface of the gate conductive pattern. A first plug conductive layer is filled in the first contact holes and a second plug conductive layer is filled in the second contact holes.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: September 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byoungho Kwon, Boun Yoon, Daeik Kim, Sung-Min Cho
  • Patent number: 8268721
    Abstract: There are provided a semiconductor device and a semiconductor device manufacturing method capable of preventing electrical leakage while suppressing increase of wiring resistance and deterioration of productivity. The semiconductor device manufacturing method for forming on a substrate a semiconductor device having a porous low-k film serving as an interlayer insulating film. Further, the semiconductor device manufacturing method includes forming the low-k film on the substrate; etching the low-k film to form a trench or a hole therein; reforming a surface of the low-k film exposed by etching the low-k film by allowing plasma of a nitro compound to act on the exposed surface within the trench or the hole; and filling the trench or the hole with a conductor.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryuichi Asako