By Selective Deposition Of Conductive Material In Vias, E.g., Selective Chemical Vapor Deposition On Semiconductor Material, Plating (epo) Patents (Class 257/E21.586)
  • Publication number: 20100327349
    Abstract: In a semiconductor device having an LDMOSFET, a source electrode is at the back surface thereof. Therefore, to reduce electric resistance between a source contact region in the top surface and the source electrode at the back surface, a poly-silicon buried plug is provided which extends from the upper surface into a P+-type substrate through a P-type epitaxial layer, and is heavily doped with boron. Dislocation occurs in a mono-crystalline silicon region around the poly-silicon buried plug to induce a leakage failure. The semiconductor device has a silicon-based plug extending through the boundary surface between first and second semiconductor layers having different impurity concentrations. At least the inside of the plug is a poly-crystalline region. Of the surface of the poly-crystalline region, the portions located on both sides of the foregoing boundary surface in adjacent relation thereto are each covered with a solid-phase epitaxial region.
    Type: Application
    Filed: June 8, 2010
    Publication date: December 30, 2010
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Hiroyuki ARIE, Nobuaki UMEMURA, Nobuyoshi HATTORI, Nobuto NAKANISHI, Kimio HARA, Kyoya NITTA, Makoto ISHIKAWA
  • Patent number: 7859110
    Abstract: The present invention provides a solder resist material, which can suppress the warpage of a semiconductor package upon exposure to heat or impact even when used in a thin wiring board and meets a demand for size reduction in electronic devices and a higher level of integration, and a wiring board comprising the solder resist material and a semiconductor package. The solder resist material of the present invention can effectively suppress the warpage of a semiconductor package through a fiber base material-containing layer interposed between resin layers. The fiber base material-containing layer is preferably unevenly distributed in the thickness direction of the solder resist material.
    Type: Grant
    Filed: April 26, 2007
    Date of Patent: December 28, 2010
    Assignee: Sumitomo Bakelite Co., Ltd.
    Inventors: Kensuke Nakamura, Hiroshi Hirose
  • Patent number: 7859114
    Abstract: An IC chip and design structure having a TWV contact contacting the TWV and extending through a second dielectric layer over the TWV. An IC chip may include a substrate; a through wafer via (TWV) extending through at least one first dielectric layer and into the substrate; a TWV contact contacting the TWV and extending through a second dielectric layer over the TWV; and a first metal wiring layer over the second dielectric layer, the first metal wiring layer contacting the TWV contact.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: December 28, 2010
    Assignee: International Business Machines Corporation
    Inventors: Peter J. Lindgren, Edmund J. Sprogis, Anthony K. Stamper
  • Patent number: 7855087
    Abstract: This sheet production apparatus comprises a vessel defining a channel configured to hold a melt. The melt is configured to flow from a first point to a second point of the channel. A cooling plate is disposed proximate the melt and is configured to form a sheet on the melt. A spillway is disposed at the second point of the channel. This spillway is configured to separate the sheet from the melt.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: December 21, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Peter L. Kellerman, Frank Sinclair
  • Publication number: 20100317190
    Abstract: Conductive interconnect structures and formation methods using supercritical fluids are disclosed. A method in accordance with one embodiment of the invention includes forming a via in a substrate, with the via having a width and a length generally transverse to the width, and with a length being approximately 100 microns or more. The method can further include disposing a conductive material in the via while the via is exposed to a supercritical fluid. For example, copper can be disposed in the via by introducing a copper-containing precursor into the supercritical fluid and precipitating the copper from the supercritical fluid. Interconnect structures can be formed using this technique in a single generally continuous process, and can produce conductive structures having a generally uniform grain structure across the width of the via.
    Type: Application
    Filed: August 23, 2010
    Publication date: December 16, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Marc Sulfridge
  • Patent number: 7851916
    Abstract: A method and system is disclosed for better packaging semiconductor devices. In one example, a semiconductor device package comprises a package substrate, at least one die with an orientation of <100> placed on the substrate with electrical connections made between the package substrate and the die, and an underfill fillet attaching the die to the substrate with the underfill fillet reaching less than 60% of a thickness of the die on at least one side thereof.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsin-Hui Lee, Mickey Ken, Chien-Hsiun Lee, Szu Wei Lu
  • Publication number: 20100304566
    Abstract: Silicon oxide based low-k dielectric materials may receive superior hydrophobic surface characteristics on the basis of a plasma treatment using hydrogen and carbon containing radicals. For this purpose, the surface of the low-k dielectric material may be exposed to these radicals, at least in one in situ process in combination with another reactive plasma ambient, for instance used for patterning the low-k dielectric material. Consequently, superior surface characteristics may be established or re-established without significantly contributing to product cycle time.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 2, 2010
    Inventors: Daniel Fischer, Matthias Schaller
  • Publication number: 20100301478
    Abstract: A method can be used for the production of a coated substrate. The coating contains copper. A copper precursor and a substrate are provided. The copper precursor is a copper(I) complex which contains no fluorine. A copper-containing layer is deposited by means of atomic layer deposition (ALD) at least on partial regions of the substrate surface by using the precursor. Optionally, a reduction step is performed in which a reducing agent acts on the substrate obtained in the layer deposition step. In various embodiments, the precursor is a complex of the formula L2Cu(X?X) in which L are identical or different ?-donor-? acceptor ligands and/or identical or different ?,?-donor-? acceptor ligands and X?X is a bidentate ligand which is selected from the group consisting of ?-diketonates, ?-ketoiminates, ?-diiminates, amidinates, carboxylates and thiocarboxylates.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 2, 2010
    Inventors: Thomas Waechtler, Thomas Gessner, Stefan Schulz, Heinrich Lang, Alexander Jakob
  • Publication number: 20100297811
    Abstract: A method of manufacturing according to an embodiment of the present invention includes forming a seed metal layer 20a on a supporting substrate 70, forming an interconnect layer 10 including an interconnect 18 on the seed metal layer 20a, removing the supporting substrate 70 after forming the interconnect layer 10, and patterning the seed metal layer 20a thus to form an interconnect 20 after removing the supporting substrate.
    Type: Application
    Filed: August 10, 2010
    Publication date: November 25, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Masaya KAWANO, Koji SOEJIMA, Yoichiro KURITA
  • Publication number: 20100285660
    Abstract: A method for plating copper onto a semiconductor integrated circuit device substrate by forming an initial metal deposit in the feature which has a profile comprising metal on the bottom of the feature and a segment of the sidewalls having essentially no metal thereon, electrolessly depositing copper onto the initial metal deposit to fill the feature with copper. A method for plating copper onto a semiconductor integrated circuit device substrate by forming a deposit comprising a copper wettable metal in the feature, forming a copper-based deposit on the top-field surface, and depositing copper onto the deposit comprising the copper wettable metal to fill the feature with copper.
    Type: Application
    Filed: October 17, 2007
    Publication date: November 11, 2010
    Applicant: ENTHONE INC.
    Inventors: Xuan Lin, Richard Hurtubise, Vincent Paneccasio, JR., Qingyun Chen
  • Patent number: 7829454
    Abstract: A method for integrating selective Ru metal deposition into manufacturing of semiconductor devices to improve electromigration and stress migration in bulk Cu. The method includes selectively depositing a Ru metal film on a metallization layer or on bulk Cu using a process gas containing Ru3(CO)12 precursor vapor and a CO gas in a thermal chemical vapor deposition process. A semiconductor device containing one or more selectively deposited Ru metal films is described.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 9, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Patent number: 7829457
    Abstract: In some embodiments, after depositing conductive material on substrates in a deposition chamber, a reducing gas is introduced into as the chamber in preparation for unloading the substrates. The deposition chamber can be a batch CVD chamber and the deposited material can be a metal nitride, e.g., a transition metal nitride such as titanium metal nitride. As part of the preparation for unloading substrates from the chamber, the substrates may be cooled and the chamber is backfilled with a reducing gas to increase the chamber pressure. It has been found that oxidants can be introduced into the chamber during this time. The introduction of a reducing gas has been found to protect exposed metal-containing films from oxidation during the backfill and/or cooling process. The reducing gas is formed of a reducing agent and a carrier gas, with the reducing agent being a minority component of the reducing gas.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: November 9, 2010
    Assignee: ASM International N.V.
    Inventors: Tatsuya Yoshimi, Rene de Blank, Jerome Noiray
  • Publication number: 20100270677
    Abstract: An interconnect is provided in a first insulating layer and the upper surface of the interconnect is higher than the upper surface of the first insulating layer. An air gap is disposed between the interconnect and the first insulating layer. A second insulating layer is formed at least over the first insulating layer and the air gap. The second insulating layer does not cover the interconnect. An etching stopper film is formed at least over the second insulating layer. The etching stopper film is formed over the second insulating layer and the interconnect. A third insulating layer is formed over the etching stopper film. A via is provided in the third insulating layer so as to be connected to the interconnect.
    Type: Application
    Filed: April 12, 2010
    Publication date: October 28, 2010
    Applicant: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7820474
    Abstract: A chemical vapor deposition (CVD) method for selectively depositing GeSb materials onto a surface of a substrate is provided in which a metal that is capable of forming an eutectic alloy with germanium is used to catalyze the growth of the GeSb materials. A structure is also provided that includes a GeSb material located on preselected regions of a substrate. In accordance with the present invention, the GeSb material is sandwiched between a lower metal layer used to catalyze the growth of the GeSb and an upper surface metal layer that forms during the growth of the GeSb material.
    Type: Grant
    Filed: January 9, 2007
    Date of Patent: October 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Supratik Guha, Fenton R. Mc Feely, John J. Yurkas
  • Patent number: 7816153
    Abstract: A dislocation-free sheet may be formed from a melt. A sheet of material with a first width is formed on a melt of the material using a cooling plate. This sheet has dislocations. The sheet is transported with respect to the cooling plate and the dislocations migrate to an edge of the sheet. The first width of the sheet is increased to a second width by the cooling plate. The sheet does not have dislocations at the second width. The cooling plate may have a shape with two different widths in one instance. The cooling plate may have segments that operate at different temperatures to increase the width of the sheet in another instance. The sheet may be pulled or flowed with respect to the cooling plate.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: October 19, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Peter L. Kellerman, Frank Sinclair, Frederick Carlson, Nicholas P. T. Bateman, Robert J. Mitchell
  • Publication number: 20100255674
    Abstract: Provided is a method of forming a contact structure. The method includes forming a conductive pattern on a substrate. An interlayer insulating layer covering the conductive pattern is formed. The interlayer insulating layer is patterned to form an opening partially exposing the conductive pattern. An oxide layer is formed on substantially the entire surface of the substrate on which the opening is formed. A reduction process is performed to reduce the oxide layer. Here, the oxide layer on a bottom region of the opening is reduced to a catalyst layer, and the oxide layer on a region other than the bottom region of the opening is reduced to a non-catalyst layer. A nano material is grown from the catalyst layer, so that a contact plug is formed in the opening.
    Type: Application
    Filed: March 30, 2010
    Publication date: October 7, 2010
    Inventors: Kyung-Rae BYUN, Suk-Ho Joo, Min-Joon Park
  • Patent number: 7807497
    Abstract: Example embodiments may provide phase-change material layers and a method of forming a phase-change material layer and devices using the same by generating a plasma including helium and/or argon in a reaction chamber, forming a first material layer on the object by introducing a first source gas including a first material, forming a first composite material layer on the object by introducing a second source gas including a second material into the reaction chamber, forming a third material layer on the first composite material layer by introducing a third source gas including a third material, and forming a second composite material layer on the first composite material layer by introducing a fourth source gas including a fourth material. Example embodiment phase-change material layers including carbon may be more easily and/or quickly formed at lower temperatures under the helium/argon plasma environment by providing the source gases for various feeding times.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-II Lee, Sung-Lae Cho, Young-Lim Park, Hye-Young Park
  • Patent number: 7807570
    Abstract: An embodiment of the invention provides a method of creating local metallization in a semiconductor structure, and the use of local metallization so created in semiconductor structures. In one respect, the method includes forming an insulating layer on top of a semiconductor substrate; creating a plurality of voids inside the insulating layer, with the plurality of voids spanning across a predefined area and being substantially confined within a range of depth below a top surface of the insulating layer; creating at least one via hole in the insulating layer, with the via hole passing through the predefined area; and filling the via hole, and the plurality of voids inside the insulating layer through at least the via hole, with a conductive material to form a local metallization. A semiconductor structure having the local metallization is also provided.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jeffery B. Maxson, Aurelia A. Suwarno-Handayana, Shamas M. Ummer, Kenneth J. Giewont, Scott Richard Stiffler
  • Patent number: 7803693
    Abstract: A planarizing method performed on a non-planar wafer involves forming electrically conductive posts extending through a removable material, each of the posts having a length such that a top of each post is located above a plane defining a point of maximum deviation for the wafer, concurrently smoothing the material and posts so as to form a substantially planar surface, and removing the material. An apparatus includes a non planar wafer having contacts thereon, the wafer having a deviation from planar by an amount that is greater than a height of at least one contact on the wafer, and a set of electrically conductive posts extending away from a surface of the wafer, the posts each having a distal end, the distal ends of the posts collectively defining a substantially flat plane.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: September 28, 2010
    Inventor: John Trezza
  • Patent number: 7803707
    Abstract: The present invention provides metal silicide nanowires, including metallic, semiconducting, and ferromagnetic semiconducting transition metal silicide nanowires. The nanowires are grown using either chemical vapor deposition (CVD) or chemical vapor transport (CVT) on silicon substrates covered with a thin silicon oxide film, the oxide film desirably having a thickness of no greater than about 5 nm and, desirably, no more than about 2 nm (e.g., about 1-2 nm). The metal silicide nanowires and heterostructures made from the nanowires are well-suited for use in CMOS compatible wire-like electronic, photonic, and spintronic devices.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: September 28, 2010
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Song Jin, Andrew L. Schmitt, Yipu Song
  • Patent number: 7799678
    Abstract: A method for forming a TSV layout reduces recessing in a silicon nitride layer caused by forming the TSV through a silicon nitride layer having an intrinsic tensile stress or neutral stress. In one embodiment, the method includes compensating for the tensile stressed silicon nitride layer by either moving the TSV location to an area of intrinsic tensile stress, or by substituting a compressively stressed silicon nitride layer in the area of the TSV. The compressively stressed silicon nitride layer experiences less recessing during a TSV etch process than a silicon nitride layer under tensile stress. The smaller recesses are more readily filled when a dielectric liner is applied to the sidewalls of the TSV, reducing the possibility of voids being formed. Also, the smaller recesses require smaller exclusion zones, resulting in less surface area of an integrated circuit being used for the TSVs, as well as greater reliability and improved yields.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: September 21, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Thomas J. Kropewnicki, Ritwik Chatterjee, Kurt H. Junker
  • Patent number: 7795137
    Abstract: When a tungsten film (43) is embedded inside of a conductive groove (4A) formed in a wafer (W2) and a silicon oxide film (36) thereon and having a high aspect ratio, film formation and etch back of the tungsten film (43) are successively performed in a chamber of the same apparatus, therefore, a film thickness of the tungsten film (43) deposited in one film formation step is made to be thin. Whereby problems, such as exfoliation of the tungsten film (43), generation of micro-cracks, and occurrence of warpage and cracks of the wafer (W2), are avoided.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: September 14, 2010
    Assignees: Hitachi, Ltd., Honda Motor Co., Ltd.
    Inventors: Toshio Saito, Akira Otaguro, Manabu Otake, Yoshiya Takahira, Namio Katagiri, Nobuaki Miyakawa
  • Publication number: 20100221911
    Abstract: During the formation of complex metallization systems, a conductive cap layer may be formed on a copper-containing metal region in order to enhance the electromigration behavior without negatively affecting the overall conductivity. At the same time, a thermo chemical treatment may be performed to provide superior surface conditions of the sensitive dielectric material and also to suppress carbon depletion, which may conventionally result in a significant variability of material characteristics of sensitive ULK materials.
    Type: Application
    Filed: February 24, 2010
    Publication date: September 2, 2010
    Inventors: Oliver Aubel, Joerg Hohage, Frank Feustel, Axel Preusse
  • Publication number: 20100210102
    Abstract: Aimed at improving adhesiveness between upper and lower interconnects in semiconductor devices, a semiconductor device of the present invention includes a second dielectric multi-layered film formed on a substrate, and containing a lower interconnect; a first dielectric multi-layered film formed on the second dielectric multi-layered film, and having a recess; an MOx film formed on the inner wall of the recess, and containing a metal M and oxygen as major components; an M film formed on the MOx film, and containing the M as a major component; and an electric conductor formed on the M film so as to fill the recess, and containing Cu as a major component, wherein the surficial portion of the interconnect fallen straight under the bottom of the recess has an oxygen concentration of 1% or smaller.
    Type: Application
    Filed: April 12, 2010
    Publication date: August 19, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Akira FURUYA
  • Publication number: 20100210107
    Abstract: A semiconductor device and a manufacturing method thereof are provided for the improvement of the reliability of copper damascene wiring in which a film between wiring layers and a film between via layers are comprised of an SiOC film with low dielectric constant. A film between wiring layers, a film between wiring layers, and a film between via layers are respectively comprised of an SiOC film, and stopper insulating films and a cap insulating film are comprised of a laminated film of an SiCN film A and an SiC film B. By doing so, it becomes possible to reduce the leakage current of the film between wiring layers, the film between wiring layers, and the film between via layers, and also possible to improve the adhesion of the film between wiring layers, the film between wiring layers, and the film between via layers to the stopper insulating films and the cap insulating film.
    Type: Application
    Filed: April 30, 2010
    Publication date: August 19, 2010
    Inventors: Kazutoshi Ohmori, Tsuyoshi Tamaru, Naohumi Ohashi, Kiyohiko Sato, Hiroyuki Maruyama
  • Publication number: 20100210087
    Abstract: Methods of manufacturing semiconductor devices are provided in which a first contact plug is formed on a first active region in a substrate and a second contact plug is formed on a second active region in the substrate. A height of an upper surface of the second contact plug from the substrate is greater than a height of an upper surface of the first contact plug from the substrate. A third contact plug is formed on the second contact plug. A first spacer is formed on a side surface of the third contact plug. A third interlayer insulation layer is formed that covers the third contact plug. The third interlayer insulation layer is patterned to form a third opening that exposes the first contact plug. A fourth contact plug is formed in the third opening that is electrically connected to the first contact plug.
    Type: Application
    Filed: April 23, 2010
    Publication date: August 19, 2010
    Inventors: Joon-ho Sung, Ju-yong Lee, Mi-kyung Park, Tae-young Chung
  • Publication number: 20100210105
    Abstract: A method of fabricating a semiconductor device can include forming a trench in a semiconductor substrate, forming a first conductive layer on a bottom surface and side surfaces of the trench, and selectively forming a second conductive layer on the first conductive layer to be buried in the trench. The second conductive layer may be formed selectively on the first conductive layer by using an electroless plating method or using a metal organic chemical vapor deposition (MOCVD) or an atomic layer deposition (ALD) method.
    Type: Application
    Filed: February 11, 2010
    Publication date: August 19, 2010
    Inventors: Jong-min Baek, Hee-sook Park, Seong-hwee Cheong, Gil-heyun Choi, Byung-hak Lee, Tae-ho Cha, Jae-hwa Park, Su-kyoung Kim
  • Patent number: 7776743
    Abstract: Embodiments of methods for improving electrical leakage performance and minimizing electromigration in semiconductor devices containing metal cap layers are generally described herein. According to one embodiment, a method of forming a semiconductor device includes planarizing a top surface of a workpiece to form a substantially planar surface with conductive paths and dielectric regions, forming metal cap layers on the conductive paths, and exposing the top surface of the workpiece to a dopant source from a gas cluster ion beam (GCIB) to form doped metal cap layers on the conductive paths and doped dielectric layers on the dielectric regions. According to some embodiments the metal cap layers and the doped metal cap layers contain a noble metal selected from Pt, Au, Ru, Rh, Ir, and Pd.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: August 17, 2010
    Assignee: TEL Epion Inc.
    Inventors: Noel Russell, Frank M. Cerio, Jr., Gregory Herdt
  • Patent number: 7776741
    Abstract: A semiconductor electroplating process deposits copper into the through silicon via hole to completely fill the through silicon via in a substantially void free is disclosed. The through silicon via may be more than about 3 micrometers in diameter and more that about 20 micrometers deep. High copper concentration and low acidity electroplating solution is used for deposition copper into the through silicon vias.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: August 17, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Reid, Katie Qun Wang, Mark J. Willey
  • Publication number: 20100203722
    Abstract: A method for processing a semiconductor structure includes the steps of capping a top surface of the semiconductor structure that defines the metallization layer with a thin stop layer, forming a dielectric layer over the thin stop layer, wherein the dielectric layer defines at least one area where the thin stop layer is exposed, and removing the exposed thin stop layer to expose a top surface of the metallization layer using etchant gases substantially free from oxygen, so that the metallization layer is substantially free of damage.
    Type: Application
    Filed: April 22, 2010
    Publication date: August 12, 2010
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tien-I BAO, Syun-Ming JANG
  • Patent number: 7772097
    Abstract: An embodiment provides a method for selectively depositing a single crystalline film. The method includes providing a substrate, which includes a first surface having a first surface morphology and a second surface having a second surface morphology different from the first surface morphology. A silicon precursor and BCl3 are intermixed to thereby form a feed gas. The feed gas is introduced to the substrate under chemical vapor deposition conditions. A Si-containing layer is selectively deposited onto the first surface without depositing on the second surface by introducing the feed gas.
    Type: Grant
    Filed: November 5, 2007
    Date of Patent: August 10, 2010
    Assignee: ASM America, Inc.
    Inventors: Pierre Tomasini, Nyles Cody
  • Publication number: 20100197133
    Abstract: In a “via first/trench last” approach for forming metal lines and vias in a metallization system of a semiconductor device, a combination of two hard masks may be used, wherein the desired lateral size of the via openings may be defined on the basis of spacer elements, thereby resulting in significantly less demanding lithography conditions compared to conventional approaches.
    Type: Application
    Filed: January 25, 2010
    Publication date: August 5, 2010
    Inventors: Thomas Werner, Kai Frohberg, Frank Feustel
  • Publication number: 20100193963
    Abstract: In sophisticated semiconductor devices, a contact structure may be formed on the basis of a void positioned between closely spaced transistor elements wherein disadvantageous metal migration along the void may be suppressed by sealing the voids after etching a contact opening and prior to filling in the contact metal. Consequently, significant yield losses may be avoided in well-established dual stress liner approaches while, at the same time, superior device performance may be achieved.
    Type: Application
    Filed: January 26, 2010
    Publication date: August 5, 2010
    Inventors: Ralf Richter, Kai Frohberg, Holger Schuehrer
  • Patent number: 7749783
    Abstract: A method of forming a display panel includes providing a first substrate having a transparent electrode, and a second substrate having a pixel electrode. Subsequently, an alignment material is provided and covers on the transparent electrode and/or the pixel electrode, and a photoelectric twisting layer is provided between the first substrate and the second substrate. The alignment material is first in a non-aligned state, and is radiation-polymerizable. The photoelectric twisting layer does not include any radiation-polymerizable material. Thereafter, a voltage difference is applied to drive molecules of the photoelectric twisting layer, and a radiating process is performed on the alignment material. The twisted molecules of the photoelectric twisting layer induce the surface molecules of the alignment material to arrange in an ordered state, and the alignment material is polymerized according to the ordered state as a first alignment film.
    Type: Grant
    Filed: April 2, 2009
    Date of Patent: July 6, 2010
    Assignee: AU Optronics Corp.
    Inventors: Rong-Ching Yang, Ming-Hung Wu, Shih-Feng Hsu, Li-Ya Yeh, Kuo-Hwa Wu, Wei-Yi Chien
  • Publication number: 20100167529
    Abstract: An embodiment of the present invention provides a method for manufacturing a semiconductor device. This method comprises: forming a seed film at least on an inner face of a recessed portion of a substrate; forming a protection film on the seed film, the protection film being made of a material that is more easily oxidized than a material forming the seed film; heat-treating the protection film; exposing at least part of the seed film by removing at least part of the heat-treated protection film; forming a plating film on the seed film through electrolytic plating to be buried in the recessed portion, by supplying current to the seed film that is at least partially exposed; and removing the plating film except for a portion buried in the recessed portion.
    Type: Application
    Filed: December 23, 2009
    Publication date: July 1, 2010
    Inventors: Atsuko SAKATA, Soichi Yamashita, Yasuyuki Sonoda, Hiroshi Toyoda, Masahiko Hasunuma
  • Publication number: 20100167538
    Abstract: A method for removing native oxide that remains on a surface of a semiconductor device is presented. The manufacturing method includes the steps of placing, supplying, moving, and annealing. The placing step includes placing a semiconductor substrate into a first process chamber. The supplying step includes supplying an etchant gas that reacts with the native oxide when the first process chamber is purged and sealed away from air. The moving step includes moving the semiconductor substrate with the byproduct formed on it into a second process chamber in which the moving step can be exposed to air. The annealing the semiconductor substrate in the second process chamber removes the byproduct.
    Type: Application
    Filed: May 21, 2009
    Publication date: July 1, 2010
    Inventor: Jun Ki KIM
  • Patent number: 7741218
    Abstract: A method for forming a conductive via is discussed and includes forming a seed layer over a first side of a semiconductor substrate, wherein the semiconductor substrate includes a first side opposite a second side, forming a via hole in a semiconductor substrate from the second side of the semiconductor substrate, wherein the via hole exposes the seed layer; and electroplating a conductive via material in the via hole from the seed layer. In one embodiment, a continuous conductive layer is formed over and electrically coupled to the seed layer. The continuous conductive layer can serve as the current source while electroplating the conductive via material.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: June 22, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Terry G. Sparks, Robert E. Jones
  • Patent number: 7737028
    Abstract: Embodiments of the invention provide processes for selectively forming a ruthenium-containing film on a copper surface over exposed dielectric surfaces. Thereafter, a copper bulk layer may be deposited on the ruthenium-containing film. In one embodiment, a method for forming layers on a substrate is provided which includes positioning a substrate within a processing chamber, wherein the substrate contains a copper-containing surface and a dielectric surface, exposing the substrate to a ruthenium precursor to selectively form a ruthenium-containing film over the copper-containing surface while leaving exposed the dielectric surface, and depositing a copper bulk layer over the ruthenium-containing film.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Rongjun Wang, Hua Chung, Jick M. Yu, Praburam Gopalraja
  • Publication number: 20100144104
    Abstract: A plurality of origin patterns (3) containing a metal catalyst are formed over a semiconductor substrate (1). Next, an insulating film (4) covering the origin patterns (3) is formed. Next, a trench allowing at the both ends thereof the side faces of the origin patterns (3) to expose is formed. Thereafter, a wiring is formed by allowing carbon nanotubes (5) having a conductive chirality to grow in the trench. Thereafter, an insulating film covering the carbon nanotubes (5) is formed.
    Type: Application
    Filed: February 19, 2010
    Publication date: June 10, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Yoichi OKITA
  • Publication number: 20100136782
    Abstract: In a manufacturing process of a semiconductor device, electroplating and CMP have had a problem of increase in manufacturing costs for forming a wiring. Correspondingly, an opening is formed in a porous insulating film after a mask is formed thereover, and a conductive material containing Ag is dropped into the opening. Further, a first conductive layer is formed by baking the conductive material dropped into the opening by selective irradiation with laser light. Subsequently, a metal film is formed over the entire surface by sputtering, and the mask is removed thereafter to have only the metal film remain over the first conductive layer, thereby forming an embedded wiring layer formed with a stack of the first conductive layer containing Ag and the second conductive layer (metal film).
    Type: Application
    Filed: February 4, 2010
    Publication date: June 3, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Toshiyuki ISA, Shunpei YAMAZAKI
  • Patent number: 7727891
    Abstract: A method of manufacturing a semiconductor device, including the following processes of forming a structure in which a barrier metal containing at least of Ti and Ta and a copper wiring are exposed on its surface, or a structure in which at least one substance selected from the group consisting of Ti, W, and Cu and Al are exposed on its surface, above a semiconductor substrate, and supplying a hydrogen-dissolved solution dissolving hydrogen gas to the surface of the structure.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: June 1, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshitaka Matsui, Masako Kodera
  • Patent number: 7727885
    Abstract: A semiconductor device is fabricated while mitigating conductive void formation in metallization layers. A substrate is provided. A first dielectric layer is formed over the substrate. A conductive trench is formed within the first dielectric layer. An etch stop layer is formed over the first dielectric layer. A second dielectric layer is formed over/on the etch stop layer. A resist mask is formed over the device and via openings are etched in the second dielectric layer. The resist mask is removed by an ash process. A clean process is performed that mitigates/reduces surface charge on exposed portions of the etch stop layer. Additional surface charge reduction techniques are employed. The via openings are filled with a conductive material and a planarization process is performed to remove excess fill material.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: June 1, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Phillip Daniel Matz, Sopa Chevacharoenkul, Ching-Te Lin, Basab Chatterjee, Anand Reddy, Kenneth Joseph Newton, Ju-Ai Ruan
  • Patent number: 7727877
    Abstract: A method of manufacturing a wafer level package is disclosed, which may include: coating an insulation layer over one side of a semiconductor chip, on one side of which an electrode pad is formed, such that the electrode pad is open; forming a seed layer by depositing a conductive metal onto one side of the semiconductor chip; forming a rewiring pattern that is electrically connected with the electrode pad, by selective electroplating with the seed layer as an electrode; forming a conductive pillar that is electrically connected with the rewiring pattern, by selective electroplating with the seed layer as an electrode; and removing portions of the seed layer open to the exterior. By forming the rewiring pattern and the metal pillar using one seed layer, the manufacturing process can be simplified, whereby defects during the manufacturing process can be reduced and the reliability of the products can be improved.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: June 1, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Joon-Seok Kang, Sung Yi, Jong-Hwan Baek, Young-Do Kweon
  • Patent number: 7727869
    Abstract: A method of forming a metal wiring includes: forming a foundation layer on a substrate; applying a solution including fine metal particles and a dispersion stabilizer on the foundation layer; and heating the applied solution to form into a conductive layer, wherein after the applying of the solution, the conductive layer is formed by starting the heating of the applied solution within a detained time.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: June 1, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Yoichi Noda
  • Publication number: 20100129958
    Abstract: Embodiments of the present invention generally relates to an apparatus and a method for processing semiconductor substrates. Particularly, embodiments of the present invention relates to methods and apparatus for trench and via profile modification prior to filling the trench and via. One embodiment of the present invention comprises forming a sacrifice layer to pinch off a top opening of a trench structure by exposing the trench structure to an etchant. In one embodiment, the etchant is configured to remove the first material by reacting with the first material and generating a by-product, which forms the sacrifice layer.
    Type: Application
    Filed: November 18, 2009
    Publication date: May 27, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Mei Chang, Chien-Teh Kao, Xinliang Lu, Zhenbin Ge
  • Patent number: 7713881
    Abstract: A method for void free filling with in-situ doped amorphous silicon of a deep trench structure is provided in which a first fill is carried out in a way so that film deposition occurs from the bottom of the trench upwards, with step coverage well in excess of 100%. In a second fill step, deposition conditions are changed to reduce the impact of dopant on deposition rate, and deposition proceeds at a rate which exceeds the deposition rate of the first fill. In an application of this method to the formation of deep trench capacitor structures, the intermediate steps further including the capping of the void free filled trench with a thick layer of amorphous silicon, planarization of the wafer thereafter, followed by a thermal anneal to re-distribute the dopant within the filled trench. Thereafter, additional steps can be performed to complete the formation of the capacitor structure.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: May 11, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Paranjpe, Somnath Nag
  • Publication number: 20100105169
    Abstract: A semiconductor device includes a semiconductor substrate and a via electrode. The via electrode has a first portion on the substrate and extends towards the substrate and has a plurality of spikes that extends from the first portion into the substrate, each of the spikes being spaced apart form one another.
    Type: Application
    Filed: August 18, 2009
    Publication date: April 29, 2010
    Inventors: Ho-jin Lee, Hyun-soo Chung, Chang-seong Jeon, Sang-sick Park, Jae-hyun Phee
  • Publication number: 20100105171
    Abstract: In a semiconductor chip, a body has a top surface where a pattern is formed, an underside surface opposing the top surface and a plurality of side surfaces. A plurality of electrode pads are formed on the top surface of the body to connect to an external terminal. A shielding conductive film is formed on the surfaces excluding the top surface of the body where the pattern is formed. A conductive via is extended through the body to connect one of the electrode pads with the conductive film.
    Type: Application
    Filed: December 31, 2009
    Publication date: April 29, 2010
    Applicant: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Tae Soo LEE, Yun Hwi Park
  • Patent number: 7701064
    Abstract: A first through via is electrically insulated from surrounding wafer substrate material. A second through via is not electrically insulated from the surrounding wafer substrate material. This configuration is advantageous when the non-insulated via serves as the path for either Vdd or GND. By not insulating the through via, a first supply voltage (Vdd or GND) is allowed to flow through the surrounding wafer substrate material thereby decreasing the resistance of the first supply voltage path.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Todd Alan Christensen, John Edward Sheets, II
  • Patent number: 7696086
    Abstract: An interconnect structure is described, disposed on a substrate with a conductive part thereon and including a dielectric layer, a composite plug and a conductive line. The dielectric layer is disposed on the substrate covering the conductive part. The composite plug is disposed in the dielectric layer electrically connecting with the conductive part, and includes a first plug and a second plug on the first plug, wherein the material or the critical dimension of the second plug is different from that of the first plug. The conductive line is disposed on the dielectric layer electrically connecting with the composite plug.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: April 13, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Hao Hsu, Ming-Tsung Chen