By Selective Deposition Of Conductive Material In Vias, E.g., Selective Chemical Vapor Deposition On Semiconductor Material, Plating (epo) Patents (Class 257/E21.586)
  • Publication number: 20100068881
    Abstract: A method of forming metallization in a semiconductor device, including forming an interlayer insulation layer on a semiconductor layer, forming a hole in the interlayer insulation layer by removing a portion of the interlayer insulation layer, forming a metal seed layer in the hole and on an upper surface of the interlayer insulation layer, such that the metal seed layer includes a first portion on the upper surface of the interlayer insulation layer, a second portion on an upper side surface of the hole, and a third portion on central and lower side surfaces of the hole, selectively plasma-treating a portion of the metal seed layer, forming a metal layer on the metal seed layer to fill the hole, and forming metallization by polishing the metal layer.
    Type: Application
    Filed: July 20, 2009
    Publication date: March 18, 2010
    Inventors: Joo-ho Kang, Matsuda Tsukasa, Yong-chul Lee, Hyung-sik Hong, Sang-yeob Cha, Tae-hong Ha
  • Patent number: 7678710
    Abstract: The present invention generally provides methods and apparatuses that are adapted to form a high quality dielectric gate layer on a substrate. Embodiments contemplate a method wherein a metal plasma treatment process is used in lieu of a standard nitridization process to form a high dielectric constant layer on a substrate. Embodiments further contemplate an apparatus adapted to “implant” metal ions of relatively low energy in order to reduce ion bombardment damage to the gate dielectric layer, such as a silicon dioxide layer and to avoid incorporation of the metal atoms into the underlying silicon. In general, the process includes the steps of forming a high-k dielectric and then terminating the surface of the deposited high-k material to form a good interface between the gate electrode and the high-k dielectric material.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: March 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thai Cheng Chua, Steven Hung, Patricia M. Liu, Tatsuya Sato, Alex M. Paterson, Valentin Todorov, John P. Holland
  • Publication number: 20100062600
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming a first insulating layer with a first opening; forming a first redistribution layer having a first via with a recess; forming a second insulating layer with a second opening on the first redistribution layer so that the second opening causes a part of the first redistribution layer including the recess to be exposed; depositing a conductive material layer and a photoresist film; irradiating a first area of the photoresist film with first exposure light, and irradiating a second area of the photoresist film with second exposure light, wherein the first area includes the second area and the second area includes an area corresponding to the first via; developing the photoresist film; and causing the conductive material layer to grow through a plating process, thereby forming the second redistribution layer having a second via stacked on the first via.
    Type: Application
    Filed: September 1, 2009
    Publication date: March 11, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventor: Tomokatsu Utsuki
  • Patent number: 7674706
    Abstract: A charge transfer mechanism is used to locally deposit or remove material for a small structure. A local electrochemical cell is created without having to immerse the entire work piece in a bath. The charge transfer mechanism can be used together with a charged particle beam or laser system to modify small structures, such as integrated circuits or micro-electromechanical system. The charge transfer process can be performed in air or, in some embodiments, in a vacuum chamber.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 9, 2010
    Assignee: FEI Company
    Inventors: George Y. Gu, Neil J. Bassom, Thomas J. Gannon, Kun Liu
  • Publication number: 20100055903
    Abstract: During the formation of metallization layers of sophisticated semiconductor devices, the damaging of sensitive dielectric materials, such as ULK materials, may be significantly reduced during a CMP process by applying a compressive stress level. This may be accomplished, in some illustrative embodiments, by forming a compressively stressed cap layer on the ULK material, thereby suppressing the propagation of micro cracks into the ULK material.
    Type: Application
    Filed: July 22, 2009
    Publication date: March 4, 2010
    Inventors: Thomas WERNER, Kai FROHBERG, Frank FEUSTEL
  • Patent number: 7670946
    Abstract: A method to form a barrier layer and contact plug using a touch up RIE. In a first embodiment, we form a first barrier layer over the dielectric layer and the substrate in the contact hole. The first barrier layer is comprised of Ta. A second barrier layer is formed over the first barrier layer. The second barrier layer is comprised of TaN or WN. We planarize a first conductive layer to form a first contact plug in the contact hole. We reactive ion etch (e.g., W touch up etch) the top surfaces using a Cl and B containing etch. Because of the composition of the barrier layers and RIE etch chemistry, the barrier layers are not significantly etched selectively to the dielectric layer. In a second embodiment, a barrier film is comprised of WN.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: March 2, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yong Kong Siew, Beichao Zhang
  • Patent number: 7670915
    Abstract: A method of forming an integrated circuit with a semiconductor substrate is provided. A gate dielectric is formed on the semiconductor substrate, and a gate is formed on the gate dielectric. Source/drain junctions are formed in the semiconductor substrate. A silicide is formed on the source/drain junctions and on the gate. An interlayer dielectric having contact holes therein is formed above the semiconductor substrate. Contact liners are formed in the contact holes, and contacts are then formed over the contact liners. The contact liners are nitrides of the contact material, and formed at a temperature below the thermal budget for the silicide.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: March 2, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Errol Todd Ryan, Paul R. Besser, Simon Siu-Sing Chan, Robert J. Chiu, Mehrdad Mahanpour, Minh Van Ngo
  • Publication number: 20100041180
    Abstract: The invention includes semiconductor assemblies having two or more dies. An exemplary assembly has circuitry associated with a first die front side electrically connected to circuitry associated with a second die front side. The front side of the second die is adjacent a back side of the first die, and a through wafer interconnect extends through the first die. The through wafer interconnect includes a conductive liner within a via extending through the first die. The conductive liner narrows the via, and the narrowed via is filled with insulative material. The invention also includes methods of forming semiconductor assemblies having two or more dies; and includes electronic systems containing assemblies with two or more dies.
    Type: Application
    Filed: October 19, 2009
    Publication date: February 18, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Steven Oliver, Warren M. Farnworth
  • Patent number: 7659624
    Abstract: A semiconductor device includes a substrate, an insulating layer having an opening, the opening exposing a portion of the substrate, a hydrophobic layer covering substantially only a sidewall and a top surface of the insulating layer, and a nanoscale conductive structure on the exposed portion of the substrate.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: February 9, 2010
    Assignee: Samsung Electronics Co,., Ltd.
    Inventors: Subramanya Mayya Kolake, Sun-Woo Lee, In-Seok Yeo
  • Publication number: 20100025852
    Abstract: To suppress deterioration in reliability of wiring and to reduce effective dielectric constant of wiring. In a semiconductor device, copper-containing wirings are covered by barrier insulating films, and the barrier insulating films contain a component of an organic silica containing unsaturated hydrocarbon and amorphous carbon. The copper-containing wirings are covered by the barrier insulating films that contain a component that is in an organic silica structure containing unsaturated hydrocarbon and amorphous carbon. Accordingly, inter-wiring capacitance is reduced without deteriorating reliability of the copper-containing wiring, thereby realizing a high-speed LSI with low power consumption.
    Type: Application
    Filed: December 20, 2007
    Publication date: February 4, 2010
    Inventors: Makoto Ueki, Hironori Yamamoto, Yoshihiro Hayashi, Fuminori Ito, Yoshiyuki Fukumoto
  • Publication number: 20100015797
    Abstract: When a tungsten film (43) is embedded inside of a conductive groove (4A) formed in a wafer (W2) and a silicon oxide film (36) thereon and having a high aspect ratio, film formation and etch back of the tungsten film (43) are successively performed in a chamber of the same apparatus, therefore, a film thickness of the tungsten film (43) deposited in one film formation step is made to be thin. Whereby problems, such as exfoliation of the tungsten film (43), generation of micro-cracks, and occurrence of warpage and cracks of the wafer (W2), are avoided.
    Type: Application
    Filed: August 25, 2006
    Publication date: January 21, 2010
    Inventors: Toshio Saito, Akira Otaguro, Manabu Otake, Yoshiya Takahira, Namio Katagiri, Nobuaki Miyakawa
  • Publication number: 20100009530
    Abstract: A semiconductor device fabrication method including the steps of: forming an interlayer insulating film on a substrate; forming an opening in the interlayer insulating film; forming an alloy layer containing manganese and copper to cover the inner surface of the opening; forming a first copper layer of a material containing primarily copper on the alloy layer to fill the opening; forming, on the first copper layer, a second copper layer of a material containing primarily copper and a higher concentration of oxygen, carbon or nitrogen than the first copper layer; heating the substrate on which the second copper layer has been formed; and removing the second copper layer.
    Type: Application
    Filed: September 24, 2009
    Publication date: January 14, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Masaki Haneda, Noriyoshi Shimizu, Michie Sunayama
  • Publication number: 20090305498
    Abstract: By forming a tin and nickel-containing copper alloy on an exposed copper surface, which is treated to have a copper oxide thereon, a reliable and highly efficient capping layer may be provided. The tin and nickel-containing copper alloy may be formed in a gaseous ambient on the basis of tin hydride and nickel, carbon monoxide in a thermally driven reaction.
    Type: Application
    Filed: July 2, 2009
    Publication date: December 10, 2009
    Inventors: Christof Streck, Volker Kahlert, Alexander Hanke
  • Patent number: 7625815
    Abstract: An improved semiconductor device interconnect structure comprising a dielectric layer recessed with respect to the conductive interconnect features. This structure and method reduces embedded metallic residues from CMP scratches and metal cap applications and provides improved mechanical integrity at the capping layer/liner/dielectric interface.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: December 1, 2009
    Assignee: International Business Machines Corporation
    Inventor: Chih-Chao Yang
  • Publication number: 20090291556
    Abstract: A method for depositing one or more materials on a substrate, such as for example, a semiconductor substrate that includes providing the substrate; applying a polymer film to at least a portion of a surface of the substrate; and exposing the semiconductor substrate to a supercritical fluid containing at least one reactant for a time sufficient for the supercritical fluid to swell the polymer and for the at least one reactant to penetrate the polymer film. The reactant is reacted to cause the deposition of the material on at least a portion of the substrate. The substrate is removed from the supercritical fluid, and the polymer film is removed. The process permits the precise deposition of materials without the need for removal of excess material using chemical, physical, or a combination of chemical and physical removal techniques.
    Type: Application
    Filed: July 31, 2009
    Publication date: November 26, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Chien M. Wai, Hiroyuki Ohde, Steve Kramer
  • Publication number: 20090283913
    Abstract: A semiconductor device includes: a copper (Cu) wire having a first region and a second region in which densities of silicon (Si) and oxygen (O) atoms are higher than in the first region; a compound film that is selectively formed on the Cu wire and contains Cu and Si; and a dielectric film formed on a side surface side of the Cu wire.
    Type: Application
    Filed: May 5, 2009
    Publication date: November 19, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yumi HAYASHI, Noriaki Matsunaga, Takamasa Usui
  • Patent number: 7618887
    Abstract: A method of forming a metal line in a semiconductor device including forming a first insulation layer and a first etch stop layer on a conductive layer, and forming a first photosensitive layer pattern on the first etch stop layer; forming a first opening by etching the first etch stop layer; forming a second insulation layer and a second etch stop layer on the first insulation layer and the first etch stop layer, and forming a second photosensitive layer pattern on the second etch stop layer; forming a second opening by etching the second etch stop layer; simultaneously forming an inter-connection groove and a via hole by etching the first insulation layer and the second insulation layer using the second etch stop layer and the first etch stop layer as a mask; and forming a metal line by filling the inter-connection groove and the via hole with conductive materials.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: November 17, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Se-Yeul Bae
  • Publication number: 20090272565
    Abstract: An interconnect structure on a substrate is provided. The interconnect structure comprises electrically conductive interconnect elements on at least two interconnect levels on or above a substrate level. In the interconnect structure of the invention, at least one electrically conductive via connects a first interconnect element on one interconnect level or on the substrate level to a second interconnect element on a different interconnect level. The via extends in a via opening of a first dielectric layer and comprises an electrically conductive via material that contains electrically conductive cylindrical carbon nanostructures. At least one cover-layer segment reaches into a lateral extension of the via opening and defines a via aperture that is small enough to prevent a penetration of the carbon nanostructures through the via aperture. This structure enhances control of carbon nanostructure growth in a height direction during fabrication of the interconnect structure.
    Type: Application
    Filed: August 29, 2007
    Publication date: November 5, 2009
    Inventors: Laurent Gosset, Joaquin Torres
  • Publication number: 20090269925
    Abstract: A process for producing a semiconductor device, comprising the wiring region forming step of forming a wiring region on a semiconductor substrate; the copper wiring layer forming step of forming a copper wiring layer on the formed wiring region by electrolytic plating technique, wherein the copper wiring layer is formed by passing a current of application pattern determined from the relationship between application pattern of current passed at electrolytic plating and impurity content characteristic in the formed copper wiring layer so that the impurity content in the formed copper wiring layer becomes desired one; and the wiring forming step of polishing the formed copper wiring layer into a wiring.
    Type: Application
    Filed: July 9, 2009
    Publication date: October 29, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Michie Sunayama, Noriyoshi Shimizu, Masaki Haneda
  • Patent number: 7605070
    Abstract: Disclosed are a contact plug of a semiconductor device and a method for fabricating the same. The semiconductor device includes: an epitaxial stack formed by inserting a heteroepitaxy layer between a pair of homoepitaxy layers; and a contact plug including a metal layer on the epitaxial stack. Accordingly, in accordance with the present invention, the contact plug is selectively doped in a high concentration, thereby reducing a contact resistance. Furthermore, the present invention also provides an effect of reducing degradation in a device property without decreasing yields of products by minimizing a thermal budget through using a SEG-silicon germanium layer capable of obtaining a high doping concentration and a high deposition speed.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: October 20, 2009
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Young-Ho Lee
  • Publication number: 20090256263
    Abstract: The present invention in one embodiment provides a method of forming an interconnect comprising, providing a interlevel dielectric layer atop a substrate, the interlevel dielectric layer including at least one tungsten (W) stud extending from an upper surface of the interlevel dielectric to the substrate; recessing an upper surface of the at least one tungsten (W) stud below the upper surface of the interlevel dielectric to provide at least one recessed tungsten (W) stud; forming a first low-k dielectric layer atop the upper surface of the interlevel dielectric layer and the at least one recessed tungsten (W) stud; forming a opening through the first low-k dielectric layer to expose an upper surface of the at least one recessed tungsten stud; and filling the opening with copper (Cu).
    Type: Application
    Filed: April 9, 2008
    Publication date: October 15, 2009
    Applicant: International Business Machines Corporation
    Inventors: Griselda Bonilla, Kaushik A. Kumar, Lawrence A. Clevenger, Stephan Grunow, Kevin S. Petrarca, Roger A. Quon
  • Patent number: 7598163
    Abstract: A method involves pattern etching a photoresist that is located on a wafer that contains a deposited seed layer to expose portions of the seed layer, plating the wafer so that plating metal builds up on only the exposed seed layer until the plating metal has reached an elevation above the seed layer that is at least equal to a thickness of the seed layer, removing the solid photoresist, and removing seed layer exposed by removal of the photoresist and plated metal until all of the exposed seed layer has been removed.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: October 6, 2009
    Inventors: John Callahan, John Trezza
  • Patent number: 7598170
    Abstract: Methods of controllably producing conductive tantalum nitride films are provided. The methods comprise contacting a substrate in a reaction space with alternating and sequential pulses of a tantalum source material, plasma-excited species of hydrogen and nitrogen source material. The plasma-excited species of hydrogen reduce the oxidation state of tantalum, thereby forming a substantially conductive tantalum nitride film over the substrate. In some embodiments, the plasma-excited species of hydrogen react with and removes halide residues in a deposited metallic film.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: October 6, 2009
    Assignee: ASM America, Inc.
    Inventor: Kai-Erik Elers
  • Publication number: 20090243108
    Abstract: The present invention relates to a method for fabricating an interconnect stack of an integrated-circuit device. Air gaps are fabricated in the interconnect stack on one or more interconnect levels. The method comprises forming local etch vias (216, 218) between a lower etch-barrier layer (236) and an upper etch-barrier layer (211) on top of an upper-intermediate interconnect level (224). Lateral inhomogeneities of the dielectric constant on the upper-intermediate interconnect level are removed in comparison with prior-art devices. For in the finished interconnect stack local variations in the dielectric permittivity can only occur at the (former) etch vias, which are either visible by the presence of air cavities or hardly visible due to a later filling with the dielectric material of the next interlevel dielectric layer.
    Type: Application
    Filed: March 21, 2007
    Publication date: October 1, 2009
    Inventors: Laurent Gosset, Jean Raymond Jacques Marie Pontcharra, Frederic Gaillard
  • Publication number: 20090239372
    Abstract: One embodiment of the present invention is a method for depositing two or more seed layers for electroplating metallic interconnects over a substrate, the substrate having a patterned insulating layer which includes at least one opening and a field surrounding the at least one opening, the at least one opening having top corners, sidewalls, and bottom, the field and the at least one opening being ready for depositing one or more seed layers, and the method includes: (a) depositing a continuous seed layer over the sidewalls and bottom of the at least one opening using a first set of deposition parameters; and (b) depositing a second seed layer over the continuous seed layer using a second set of deposition parameters, wherein (i) the second set of deposition parameters includes at least one deposition parameter which is different from any of the parameters in the first set of deposition parameters, or the second set of deposition parameters includes at least one deposition parameter whose value is different in
    Type: Application
    Filed: May 26, 2009
    Publication date: September 24, 2009
    Inventor: Uri Cohen
  • Patent number: 7592254
    Abstract: The present invention provides methods for conformally or superconformally coating and/or uniformly filling structures with a continuous, conformal layer or superconformal layer. Methods of the present invention improve conformal or superconformal coverage of surfaces and improve fill in recessed features compared to conventional physical deposition and chemical deposition methods, thereby minimizing formation of voids or gaps in a deposited conformal or superconformal layer. The present methods are capable of coating or filling features useful for the fabrication of a broad class of electronic, electrical and electromechanical devices.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: September 22, 2009
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: John R. Abelson, Sreenivas Jayaraman, Gregory S. Girolami, Yu Yang, Do Young Kim
  • Patent number: 7592258
    Abstract: A semiconductor device comprises metal lines in a specific metallization layer which have a different thickness and thus a different resistivity in different device regions. In this way, in high density areas of the device, metal lines of reduced thickness may be provided in order to comply with process requirements for achieving a minimum pitch between neighboring metal lines, while in other areas having less critical constraints with respect to minimum pitch, a reduced resistivity may be obtained at reduced lateral dimensions compared to conventional strategies. For this purpose, the dielectric material of the metallization layer may be appropriately patterned prior to forming respective trenches or the etch behavior of the dielectric material may be selectively adjusted in order to obtain differently deep trenches.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: September 22, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthias Lehr, Matthias Schaller, Carsten Peters
  • Publication number: 20090218559
    Abstract: According to one embodiment of the present invention, an integrated circuit is provided including a plurality of magneto-resistive memory cells. Each memory cell includes a magnetic tunneling junction stack, wherein the top surfaces of the magnetic tunneling junctions stacks are electrically connected to a common continuous conductive plate.
    Type: Application
    Filed: February 29, 2008
    Publication date: September 3, 2009
    Inventor: Ulrich Klostermann
  • Publication number: 20090212438
    Abstract: A semiconductor substrate for an integrated circuit device comprises at least one insulating substrate region being formed of a cohesive insulating material. The insulating substrate region includes at least two conductive vias extending at least between a first surface and a second surface of the insulating substrate region.
    Type: Application
    Filed: February 26, 2008
    Publication date: August 27, 2009
    Inventors: Franz Kreupl, Harry Hedler
  • Patent number: 7579276
    Abstract: To prevent particles from generating by reducing a contact-gas area and improve a purge efficiency by reducing a flow passage capacity.
    Type: Grant
    Filed: October 14, 2005
    Date of Patent: August 25, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hideharu Itatani, Hidehiro Yanai, Sadayoshi Horii, Atsushi Sano
  • Patent number: 7579271
    Abstract: A method for forming a semiconductor device is provided. In one embodiment, the method includes providing a semiconductor substrate with a surface region. The surface region includes one or more layers overlying the semiconductor substrate. In addition, the method includes depositing a dielectric layer overlying the surface region. The dielectric layer is formed by a CVD process. Furthermore, the method includes forming a diffusion barrier layer overlying the dielectric layer. In addition, the method includes forming a conductive layer overlying the diffusion barrier layer. Additionally, the method includes reducing the thickness of the conductive layer using a chemical-mechanical polishing process. The CVD process utilizes fluorine as a reactant to form the dielectric layer. In addition, the dielectric layer is associated with a dielectric constant equal or less than 3.3.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: August 25, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Publication number: 20090206488
    Abstract: A through substrate via includes an annular conductor layer at a periphery of a through substrate aperture, and a plug layer surrounded by the annular conductor layer. A method for fabricating the through substrate via includes forming a blind aperture within a substrate and successively forming and subsequently planarizing within the blind aperture a conformal conductor layer that does not fill the aperture and plug layer that does fill the aperture. The backside of the substrate may then be planarized to expose at least the planarized conformal conductor layer.
    Type: Application
    Filed: February 16, 2008
    Publication date: August 20, 2009
    Applicant: International Business Machines Corporation
    Inventors: Peter James Lindgren, Edmund Juris Sprogis, Anthony Kendall Stamper, Kenneth Jay Stein
  • Patent number: 7572723
    Abstract: A semiconductor process is taught for performing electroless plating of copper overlying at least a portion of a layer comprising cobalt, nickel, or both cobalt and nickel. The cobalt and/or nickel comprising layer may be formed using electroless plating. For some embodiments, a tin layer is then formed overlying the copper. The tin layer may be formed using immersion plating or electroless plating. A micropad may comprise the cobalt and/or nickel comprising layer and the copper layer. In some embodiments, the micropad may also comprise the tin layer. In one embodiment, the micropad may be compressed at an elevated temperature to form a copper tin intermetallic compound which provides an interconnect between a plurality of semiconductor devices.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: August 11, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Varughese Mathew, Eddie Acosta, Ritwik Chatterjee, Sam S. Garcia
  • Publication number: 20090197386
    Abstract: The invention includes methods of electrically interconnecting different elevation conductive structures, methods of forming capacitors, methods of forming an interconnect between a substrate bit line contact and a bit line in DRAM, and methods of forming DRAM memory cells. In one implementation, a method of electrically interconnecting different elevation conductive structures includes forming a first conductive structure comprising a first electrically conductive surface at a first elevation of a substrate. A nanowhisker is grown from the first electrically conductive surface, and is provided to be electrically conductive. Electrically insulative material is provided about the nanowhisker. An electrically conductive material is deposited over the electrically insulative material in electrical contact with the nanowhisker at a second elevation which is elevationally outward of the first elevation, and the electrically conductive material is provided into a second conductive structure.
    Type: Application
    Filed: April 6, 2009
    Publication date: August 6, 2009
    Inventors: Brett W. Busch, David K. Hwang, F. Daniel Gealy
  • Publication number: 20090191708
    Abstract: A method for forming a TSV layout reduces recessing in a silicon nitride layer caused by forming the TSV through a silicon nitride layer having an intrinsic tensile stress or neutral stress. In one embodiment, the method includes compensating for the tensile stressed silicon nitride layer by either moving the TSV location to an area of intrinsic tensile stress, or by substituting a compressively stressed silicon nitride layer in the area of the TSV. The compressively stressed silicon nitride layer experiences less recessing during a TSV etch process than a silicon nitride layer under tensile stress. The smaller recesses are more readily filled when a dielectric liner is applied to the sidewalls of the TSV, reducing the possibility of voids being formed. Also, the smaller recesses require smaller exclusion zones, resulting in less surface area of an integrated circuit being used for the TSVs, as well as greater reliability and improved yields.
    Type: Application
    Filed: January 30, 2008
    Publication date: July 30, 2009
    Inventors: Thomas J. Kropewnicki, Ritwik Chatterjee, Kurt H. Junker
  • Patent number: 7563718
    Abstract: A semiconductor substrate is loaded into a reaction chamber to form a tungsten layer. A source gas including tungsten (W) is introduced into the reaction chamber to grow a crystal nucleus of the tungsten on the semiconductor substrate. A reduction gas containing boron (B) is introduced into the reaction chamber to form a tungsten layer on the semiconductor substrate by actions of the source gas and the reduction gas. A hydrogen (H2) gas is introduced into the reaction chamber to remove the boron (B) remaining in the tungsten layer.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: July 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Choon Hwan Kim
  • Patent number: 7563717
    Abstract: The method includes chemical-mechanical polishing to planarize an insulating interlayer deposited on a lower pattern. The insulating interlayer is polished using a surfactant. The chemical-mechanical polishing includes at least two separate polishing steps of different fluxes of the surfactant. The first polishing step is performed for touching up an upper side of the insulating layer. The second polishing step is performed, after completing the first polishing step, for planarizing the insulating interlayer.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: July 21, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ji Hyung Yune
  • Publication number: 20090160012
    Abstract: Embodiments relate to a semiconductor device and a method for fabricating the same. According to embodiments, a semiconductor device may include a first device, a silicon epitaxial layer formed on and/or over the first device, a second device formed on and/or over the silicon epitaxial layer, and a connection via formed through the silicon epitaxial layer, which may electrically interconnect the first device and the second device. According to embodiments, a method for fabricating a semiconductor device may include forming a first device, forming a silicon epitaxial layer on and/or over the first device, forming a connection via through the silicon epitaxial layer, and forming a second device on and/or over the silicon epitaxial layer such that the second device may be electrically connected to the connection via.
    Type: Application
    Filed: December 14, 2008
    Publication date: June 25, 2009
    Inventor: Sang-Chul Kim
  • Patent number: 7547632
    Abstract: A metal deposition processing apparatus includes a first processing chamber configured for holding a semiconductor substrate therein. A second processing chamber is configured for holding the semiconductor substrate therein and for forming an upper metal layer thereon. A transfer chamber is connected to the first processing chamber and the second processing chamber. The transfer chamber is configured to transfer the semiconductor substrate between the first processing chamber and the second processing chamber.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: June 16, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Hun Seo, Gil-Heyun Choi, Jong-Myeong Lee, Hee-Sook Park
  • Patent number: 7544605
    Abstract: A method of forming a semiconductor device includes forming active circuitry over a semiconductor substrate, wherein the semiconductor substrate has a first major surface and a second major surface and the first active circuitry is formed over the first major surface of the semiconductor substrate. A via is formed within the first semiconductor substrate, wherein the via extends from the first active circuitry to the second major surface of the first semiconductor substrate. A dielectric layer is formed over the second major surface and adjacent the first via. The dielectric layer may include nitrogen and silicon and may be formed by a low pressure, low temperature, or both plasma process.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: June 9, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Terry G. Sparks, Shahid Rauf
  • Publication number: 20090140378
    Abstract: In a method of fabricating a flash memory device, trenches are formed in an isolation area of a semiconductor substrate. A first insulating layer is formed on sidewalls and bottoms of the trenches. Conductive layer patterns are formed on the first insulating layers at the bottoms of the trenches. A second insulating layer is formed on the conductive layer patterns. Gate lines are formed over a semiconductor substrate including the second insulating layer. The gate lines intersect the conductive layer patterns. Junctions are formed on the semiconductor substrate between the gate lines. An interlayer insulating layer is formed over the semiconductor substrate including the gate lines. Contact holes are formed through which the conductive layer patterns and the junctions located on one side of the conductive layer patterns are exposed. The contact holes are gap-filled with a conductive material, thereby forming contact plugs.
    Type: Application
    Filed: June 27, 2008
    Publication date: June 4, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Choong Bae KIM
  • Publication number: 20090140436
    Abstract: The present invention relates to a method for forming a via in a substrate and a substrate with a via. The method for forming a via in a substrate includes the following steps: (a) providing a substrate having a first surface and a second surface; (b) forming a groove that has a side wall and a bottom wall on the first surface of the substrate; (c) forming a first conductive metal on the side wall and the bottom wall of the groove so as to form a central groove; (d) forming a center insulating material in the central groove; (e) forming an annular groove that surrounds the first conductive metal on the first surface of the substrate; (f) forming a first insulating material in the annular groove; and (g) removing part of the second surface of the substrate to expose the first conductive metal, the center insulating material and the first insulating material. As a result, thicker insulating material can be formed in the via, and the thickness of the insulating material in the via is even.
    Type: Application
    Filed: September 30, 2008
    Publication date: June 4, 2009
    Applicant: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventor: Meng-Jen Wang
  • Publication number: 20090137119
    Abstract: A method is disclosed for etching a contact hole in a stack of dielectric layers. The method minimizes bridging defects between the contact hole and adjacent conductive structures. A substrate has a conductive material layer and an active device disposed thereon. An etch stop layer covers the device and the conductive material, A layer of interlevel dielectric and antireflective coating layers are then provided. A hole is etched through the stack using patterned photoresist. Ashing is used to remove all but the etch stop layer and the interlevel dielectric layer. An isolation liner is deposited over the interlevel dielectric layer, the sidewall surfaces of the hole and the exposed upper surface of the etch stop layer. Another etch removes the isolation liner disposed over the exposed upper surface of the etch stop layer, and removes the underlying etch stop layer to expose an upper surface of the conductive material layer.
    Type: Application
    Filed: November 28, 2007
    Publication date: May 28, 2009
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsin-Lun Liu, Huan-Just Lin, Shih-Chang Chen
  • Publication number: 20090130844
    Abstract: A method of forming metal lines of a semiconductor device, comprising providing a semiconductor substrate in which a plurality of gates and junctions formed between the gates are included in a cell area and a peripheral area; forming an insulating layer over the semiconductor substrate including the gates; forming an etch protection layer over the insulating layer; etching he etch protection layer and the insulating layer, and gap-filling conductive material to form contact plugs contacting the junctions of the cell area; and, forming first metal lines contacting the contact plugs and forming second metal lines contacting the junctions of the peripheral area by etching the etch protection layer and the insulating layer.
    Type: Application
    Filed: June 27, 2008
    Publication date: May 21, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Min Sik Jang
  • Publication number: 20090130837
    Abstract: The present invention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, and etch stops, and ARCs. The invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features. This particular SiC material is useful in complex structures, such as a damascene structure and is conducive to in situ deposition, especially when used in multiple capacities for the different layers, such as the barrier layer, the etch stop, and the ARC and can include in situ deposition of the associated dielectric layer(s).
    Type: Application
    Filed: December 29, 2008
    Publication date: May 21, 2009
    Inventor: Judy H. Huang
  • Publication number: 20090124079
    Abstract: A method for fabricating a conductive plug includes the steps of providing a substrate having at least a gate structure thereon, a first dielectric layer covering a surface of the substrate, a second dielectric layer disposed on the first dielectric layer, and at least a metal line formed within the second dielectric layer; forming a hard mask plug on the second dielectric layer; forming a third dielectric layer covering the second dielectric layer and the hard mask plug; removing a portion of the third dielectric layer to expose the hard mask plug; removing the hard mask plug to form a plug hole; and forming the conductive plug within the plug hole to electrically connect with the gate structure.
    Type: Application
    Filed: March 5, 2008
    Publication date: May 14, 2009
    Inventors: Jen-Jui Huang, Chih-Ching Lin, Kuo-Chung Chen
  • Publication number: 20090108463
    Abstract: According to an aspect of an embodiment, a method of manufacturing a semiconductor device has forming a wiring layer over a substrate, forming a first film over the wiring layer, forming a second film over the first film, selectively etching the first and second films to form an first end of the first and second films over the wiring layer, forming a third film over the second film, selectively etching the third film to form a second end of the third film tapered off over the first end of the first and second films, forming an interlayer insulating film over the second and third films, forming a contact hole by selectively etching the interlayer insulating film, the first film, the second film and the third film, and forming a contact plug connected to the wiring layer in the contact holes.
    Type: Application
    Filed: October 15, 2008
    Publication date: April 30, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Sergey PIDIN
  • Patent number: 7521361
    Abstract: A method for manufacturing a wiring substrate by an electroless plating method that precipitates metal without using a plating resist is provided. The method includes the steps of: (a) providing a catalyst layer having a predetermined pattern on a substrate; (b) dipping the substrate in an electroless plating solution to thereby precipitate metal on the catalyst layer to provide a first metal layer; (c) washing a top surface of the substrate with water; and (d) dipping the substrate in an electroless plating solution to thereby precipitate metal on the first metal layer to provide a second metal layer.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: April 21, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Satoshi Kimura, Hidemichi Furihata, Takeshi Kijima
  • Patent number: 7517800
    Abstract: A manufacturing method of a semiconductor device including a TiN film, including a deposition step of forming a TiN film by the CVD method, an anneal step of performing a heat treatment to the formed TiN film in an atmosphere of NH3 gas, an NH3 gas purge step of purging NH3 gas, and a step of further repeating the deposition step, the anneal step, and the NH3 gas purge step for at least one time. The deposition step is performed using titanium halide gas and NH3 gas as material gases and with a deposition temperature of 300° C.-450° C. to form the TiN film by a thickness of 1 nm-5 nm for each deposition step. Thus, a semiconductor device in which generation of irregularly grown objects in the TiN film is suppressed and a manufacturing method thereof can be provided.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: April 14, 2009
    Assignees: Renesas Technology Corp., Tokyo Electron Limited
    Inventors: Tomonori Okudaira, Takeshi Hayashi, Hiroshi Fujiwara, Yasushi Fujita, Kiyoteru Kobayashi
  • Patent number: 7517782
    Abstract: By performing an electroless deposition and an electro deposition process in situ, highly reliable metallizations may be provided, wherein limitations with respect to contaminations and device scaling, encountered by conventional chemical vapor deposition (CVD), atomic layer deposition (ALD) and physical vapor deposition (PVD) techniques for the formation of seed layers may be overcome. In some embodiments, a barrier layer is also deposited on the basis of a wet chemical deposition process.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Susanne Wehner, Markus Nopper