Modifying Pattern Or Conductivity Of Conductive Members, E.g., Formation Of Alloys, Reduction Of Contact Resistances (epo) Patents (Class 257/E21.591)
  • Patent number: 7838423
    Abstract: Methods of forming capping structures on one or more different material surfaces are provided. One embodiment includes disposing a semiconductor structure in a reduced pressure chamber, forming a capping GCIB within the reduced pressure chamber, and directing the capping GCIB onto at least one of the one or more different material surfaces, so as to form at least one capping structure on the one or more surfaces onto which the capping GCIB is directed.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: November 23, 2010
    Assignee: TEL Epion Inc.
    Inventors: Arthur J. Learn, Steven R. Sherman, Robert Michael Geffken, John J. Hautala
  • Publication number: 20100244115
    Abstract: An anti-fuse memory cell having a variable thickness gate oxide. The variable thickness gate oxide has a thick gate oxide portion and a thin gate oxide portion, where the thing gate oxide portion has at least one dimension less than a minimum feature size of a process technology. The thin gate oxide can be rectangular in shape or triangular in shape. The anti-fuse transistor can be used in a two-transistor memory cell having an access transistor with a gate oxide substantially identical in thickness to the thick gate oxide of the variable thickness gate oxide of the anti-fuse transistor.
    Type: Application
    Filed: June 11, 2010
    Publication date: September 30, 2010
    Applicant: SIDENSE CORPORATION
    Inventors: Wlodek KURJANOWICZ, Steven SMITH
  • Publication number: 20100240210
    Abstract: A semiconductor device includes a substrate and a memory cell formed on the substrate. The memory cell includes a word line. The semiconductor device also includes a protection area formed in the substrate, a conductive structure configured to extend the word line to the protection area, and a contact configured to short the word line and the protection area.
    Type: Application
    Filed: May 28, 2010
    Publication date: September 23, 2010
    Applicant: SPANSION L.L.C.
    Inventors: Wei ZHENG, Jean YANG, Mark RANDOLPH, Ming KWAN, Yi HE, Zhizheng LIU, Meng DING
  • Patent number: 7799684
    Abstract: A two-step semiconductor electroplating process deposits copper onto wafers coated with a semi-noble metal in manner that is uniform across the wafer and free of voids. A plating bath nucleates copper uniformly and conformably at a high density in a very thin film. A second bath fills the features. A unique pulsed waveform enhances the nucleation density and reduces resistivity of the very thin film deposited in the nucleation operation. The process produces a thinner and conformal copper seed film than traditional PVD copper seed processes.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: September 21, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan Reid, Seyang Park, Seshasayee Varadarajan, Natalia Doubina
  • Publication number: 20100230781
    Abstract: Trench anti-fuse structures, design structures embodied in a machine readable medium for designing, manufacturing, or testing a programmable integrated circuit. The anti-fuse structure includes a trench having a plurality of sidewalls that extend into a substrate, a doped region in the semiconductor material of the substrate proximate to the sidewalls of the trench, a conductive plug in the trench, and a dielectric layer on the sidewalls of the trench. The dielectric layer is disposed between the conductive plug and the doped region. The dielectric layer is configured so that a programming voltage applied between the doped region and the conductive plug causes a breakdown of the dielectric layer within a region of the trench. The trench sidewalls are arranged with a cross-sectional geometrical shape that is independent of position between a bottom wall of the deep trench and a top surface of the substrate.
    Type: Application
    Filed: August 7, 2009
    Publication date: September 16, 2010
    Applicant: International Business Machines Corporation
    Inventors: Roger A. Booth, JR., Kangguo Cheng, Jack A. Mandelman, William R. Tonti
  • Patent number: 7781318
    Abstract: Disclosed are a semiconductor device and a method for manufacturing the same, capable of improving the performance of a barrier and inhibiting a discontinuous step coverage and an overhang. The semiconductor device includes an interlayer dielectric layer having a via hole disposed on a semiconductor substrate, a first layer disposed in the via hole and including ruthenium (Ru), a second layer disposed on the first layer and including ruthenium oxide (RuO2), and a metal line disposed on the second layer and including a copper material.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: August 24, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jae Hong Kim
  • Publication number: 20100197117
    Abstract: Certain embodiments of the present invention are directed to a method of programming nanowire-to-conductive element electrical connections. The method comprises: providing a substrate including a number of conductive elements overlaid with a first layer of nanowires, at least some of the conductive elements electrically coupled to more than one of the nanowires through individual switching junctions, each of the switching junctions configured in either a low-conductance state or a high-conductance state; and switching a portion of the switching junctions from the low-conductance state to the high-conductance state or the high-conductance state to the low-conductance state so that individual nanowires of the first layer of nanowires are electrically coupled to different conductive elements of the number of conductive elements using a different one of the switching junctions configured in the high-conductance state.
    Type: Application
    Filed: April 15, 2010
    Publication date: August 5, 2010
    Inventors: Zhiyong Li, Warren Robinett
  • Publication number: 20100187638
    Abstract: An anti-fuse cell includes a standard MOS transistor of an integrated circuit, with source (7) and drain (8) regions covered with a metal silicide layer (12, 13), and at least one track (24) of a resistive layer at least partially surrounding said MOS transistor, and adapted to pass a heating current such that the metal of said metal silicide diffuses across drain and/or source junctions.
    Type: Application
    Filed: December 23, 2005
    Publication date: July 29, 2010
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS N.V.
    Inventors: Bertrand Borot, Roberto Maurizio Gonella, Sebastien Fabre
  • Publication number: 20100136751
    Abstract: A method is described for monolithically forming a first memory level above a substrate, the method including: (a) forming a plurality of first substantially parallel, substantially coplanar conductors above the substrate, the first conductors extending in a first direction; (b) forming a plurality of vertically oriented contiguous p-i-n diodes above the first conductors, the contiguous p-in diode comprising semiconductor material crystallized in contact with a silicide, silicide-germanide, or germanide layer; (c) forming a plurality of second substantially parallel, substantially coplanar conductors, the second conductors above the contiguous p-i-n diodes, the second conductors extending in a second direction different from the first direction, each contiguous p-i-n diode vertically disposed between one of the first conductors and one of the second conductors; (d) and forming a plurality of dielectric rupture antifuses, each dielectric rupture antifuse disposed between one of the contiguous p-i-n diodes and
    Type: Application
    Filed: February 2, 2010
    Publication date: June 3, 2010
    Inventor: S. Brad Herner
  • Patent number: 7709401
    Abstract: An interconnect and method of making the interconnect. The method includes forming a dielectric layer on a substrate, the dielectric layer having a top surface and a bottom surface; forming a first wire and a second wire in the dielectric layer, the first wire separated from the second wire by a region of the dielectric layer; and forming metallic nanoparticles in or on the top surface of the dielectric layer between the first and second wires, the metallic nanoparticles capable of electrically connecting the first wire and the second wire only while the nanoparticles are heated to a temperature greater than room temperature and a voltage is applied between the first and second wires.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Fen Chen, Cathryn Jeanne Christiansen, Michael Anthony Shinosky, Timothy Dooling Sullivan
  • Publication number: 20100060559
    Abstract: A display device displays images with a plurality of signal lines and includes spare lines, each being arranged to be connectable to the signal lines so as to be used for recovery of the signal lines from disconnection. Each of the spare lines has constricted sections for cutting. With this arrangement, it is possible to easily and properly recover the signal lines from disconnection.
    Type: Application
    Filed: July 28, 2006
    Publication date: March 11, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Hidetoshi Nakagawa
  • Publication number: 20100052018
    Abstract: A contact structure is disclosed in which a continuous metal semiconductor alloy is located within a via contained within a dielectric material. The continuous semiconductor metal alloy is in direct contact with an upper metal line of a first metal level located atop the continuous semiconductor metal alloy and at least a surface of each source and drain diffusion region located beneath the continuous metal semiconductor alloy. The continuous metal semiconductor alloy can be derived from either a semiconductor nanowire or an epitaxial grown semiconductor material. The continuous metal semiconductor alloy includes a lower portion that is contained within an upper surface of each source and drain region, and a vertical pillar portion extending upward from the lower portion. The lower portion of the continuous metal semiconductor alloy and the vertical pillar portion are not separated by a material interface. Instead, the two portions of the continuous metal semiconductor alloy are of unitary construction, i.e.
    Type: Application
    Filed: August 26, 2008
    Publication date: March 4, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Guy Cohen, Christos D. Dimitrakopoulos, Alfred Grill
  • Publication number: 20100048018
    Abstract: A method of fabricating metal interconnects with reduced electromigration includes depositing metal interconnects on a substrate comprising electronic devices. A layer is deposited on the metal interconnects. The layer is doped with at least one dopant having a dopant concentration that increases an electromigration resistance of the metal atoms.
    Type: Application
    Filed: August 25, 2008
    Publication date: February 25, 2010
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Atul Gupta, Heyun Yin, Vikram Singh
  • Patent number: 7659198
    Abstract: A semiconductor interconnect structure having reduced hillock formation and a method for forming the same are provided. The semiconductor interconnect structure includes a conductor formed in a dielectric layer. The conductor includes at least three sub-layers, wherein the ratio of the impurity concentrations in neighboring sub-layers is preferably greater than about two.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: February 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Hsien Chen, Chun-Chieh Lin, Minghsing Tsai, Shau-Lin Shue
  • Patent number: 7651943
    Abstract: A method of forming an interconnect structure of an integrated circuit includes providing a semiconductor substrate; forming a dielectric layer over the semiconductor substrate; forming an opening in the dielectric layer; and forming a copper alloy seed layer in the opening. The copper alloy seed layer physically contacts the dielectric layer. The copper alloy seed layer includes copper and an alloying material. The method further includes filling a metallic material in the opening and over the copper alloy seed layer; performing a planarization to remove excess metallic material over the dielectric layer; and performing a thermal anneal to cause the alloying material in the copper alloy seed layer to be segregated from copper.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: January 26, 2010
    Assignee: Taiwan Semicondcutor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Ming Han Lee, Ming-Shih Yeh
  • Publication number: 20100013090
    Abstract: A method of selective formation of suicide on a semiconductor wafer, wherein the metal layer (12) is deposited over the entire wafer prior to application of the SiProt mask (10, 16, 22) such that any etching of the mask (10, 16, 22) does not cause any surface deterioration of the silicon wafer.
    Type: Application
    Filed: September 26, 2007
    Publication date: January 21, 2010
    Applicant: NXP, B.V.
    Inventors: Eric Gerritsen, Veronique De-Jonghe, Srdjan Kordic
  • Publication number: 20090321735
    Abstract: An antifuse having a link including a region of unsilicided semiconductor material may be programmed at reduced voltage and current and with reduced generation of heat by electromigration of metal or silicide from a cathode into the region of unsilicided semiconductor material to form an alloy having reduced bulk resistance. The cathode and anode are preferably shaped to control regions from which and to which material is electrically migrated. After programming, additional electromigration of material can return the antifuse to a high resistance state. The process by which the antifuse is fabricated is completely compatible with fabrication of field effect transistors and the antifuse may be advantageously formed on isolation structures.
    Type: Application
    Filed: September 8, 2009
    Publication date: December 31, 2009
    Inventors: Alberto Cestero, Byeongju Park, John M. Safran
  • Publication number: 20090317946
    Abstract: Microelectronic devices may be fabricated while being protected from damage by electrostatic discharge. In one embodiment, a shorting circuit is connected to elements of the microelectronic device, where the microelectronic device is part of a chip-on-glass system. In one aspect of this embodiment, a portion of the shorting circuit is in an area of a substrate where a microchip is bonded. In another embodiment, shorting links of the shorting circuit are comprised of a fusible material, where the fusible material may be disabled by an electrical current capable of fusing the shorting links.
    Type: Application
    Filed: August 31, 2009
    Publication date: December 24, 2009
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventor: Chen-Jean Chou
  • Publication number: 20090311858
    Abstract: A programmable via structure is provided as well as a method of fabricating the same. The inventive programmable via a semiconductor substrate. An oxide layer such as a thermal oxide is located on a surface of the semiconductor substrate. A patterned heating material is located on a surface of the oxide layer. The inventive structure also includes a patterned dielectric material having a least one via filled with a phase change material (PCM). The patterned dielectric material including the PCM filled via is located on a surface of the patterned heating material. A patterned diffusion barrier is located on an exposed surface of said at least one via filled with the phase change material. The inventive structure also includes contact vias that extend through the patterned dielectric material. The contact vias are filled with a conductive material which also extends onto the upper surface of the patterned dielectric material.
    Type: Application
    Filed: August 8, 2009
    Publication date: December 17, 2009
    Applicant: International Business Machines Corporation
    Inventors: Kuan-Neng Chen, Lia Krusin-Elbaum, Chung H. Lam, Albert M. Young
  • Patent number: 7629249
    Abstract: Microfeature workpieces having conductive vias formed by chemically reactive processes, and associated systems and methods are disclosed. A method in accordance with one embodiment includes disposing a conductive lining on walls of a via in a microfeature workpiece, so that a space is located between opposing portions of the lining facing toward each other from opposing portions of the wall. The method can further include chemically reacting the lining with a reactive material to form a chemical compound from a constituent of the reactive material and a constituent of the lining. The method can still further include at least partially filling the space with the compound. In particular embodiments, the conductive lining includes copper, the reactive material includes sulfur hexafluoride, and the chemical compound that at least partially fills the space in the via includes copper sulfide.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Swarnal Borthakur
  • Publication number: 20090275191
    Abstract: A method and apparatus for providing ESD protection of an integrated circuit using a temporary conductive coating. The method deposits a temporary conductive coating upon a chip die between contacts to be protected such that a conductive path is created between contacts, provides a carrier substrate that is then bonded to the chip die and then the conductive coating is deactivated to ready the device for use. The deactivation of the conductive coating may involve physical removal of the conductive coating (or a portion thereof), oxidation of the conductive coating to form a non-conductive coating, or some other process to interrupt the conductive path between contacts. The apparatus of the invention is a chip having a temporary conductive coating deposited thereon to protect the integrated circuit from ESD events.
    Type: Application
    Filed: September 18, 2006
    Publication date: November 5, 2009
    Inventors: Jonas R Weiss, Thomas E. Morf, Heike E Riel
  • Patent number: 7595556
    Abstract: Embodiments relate to a semiconductor device and a method for manufacturing the same. According to embodiments, the semiconductor device may include a semiconductor substrate formed with a metal interconnection, a first interlayer dielectric layer formed on the metal interconnection and having a first contact plug, a second interlayer dielectric layer formed on the first interlayer dielectric layer and having a second contact plug, and a third interlayer dielectric layer formed on the second interlayer dielectric layer and having a third contact plug, wherein the first to third contact plugs are connected to each other.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: September 29, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jin Ah Kang
  • Patent number: 7576003
    Abstract: A high tensile stress capping layer on Cu interconnects in order to reduce Cu transport and atomic voiding at the Cu/dielectric interface. The high tensile dielectric film is formed by depositing multiple layers of a thin dielectric material, each layer being under approximately 50 angstroms in thickness. Each dielectric layer is plasma treated prior to depositing each succeeding dielectric layer such that the dielectric cap has an internal tensile stress.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: August 18, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Haining Yang, Keith Kwong Hon Wong
  • Patent number: 7569467
    Abstract: A semiconductor device has a multi-layer wiring in which resistance against migration of the semiconductor device is raised to improve the yield. Semiconductor device 100 includes a first interconnect (wiring) 112, formed in a first interlayer insulating film 106 on a semiconductor substrate, not shown, a via 128 provided on the first interconnect (wiring) 112 so that the via is connected to the first interconnect (wiring) 112, and a different element containing electrically conductive film 114. The different element containing electrically conductive film is formed selectively on a site on the top of the first interconnect (wiring) 112 where the first wiring is contacted with the bottom of the via 128. The different element containing electrically conductive film contains a metal of a main component of the first interconnect (wiring) 112 and a different element different from the metal of the main component.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: August 4, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Hiroaki Katou
  • Patent number: 7566964
    Abstract: An integrated circuit device structure and a process for fabricating the structure wherein the power bus interconnect structure is formed in the aluminum pad or contact layer. An interconnect structure for interconnecting underlying levels of interconnect can also be formed in the aluminum pad layer.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 28, 2009
    Assignee: Agere Systems Inc.
    Inventors: Seung H. Kang, Roland P. Krebs, Kurt George Steiner, Michael C. Ayukawa, Sailesh Mansinh Merchant
  • Publication number: 20090186482
    Abstract: Methods of forming capping structures on one or more different material surfaces are provided. One embodiment includes disposing a semiconductor structure in a reduced pressure chamber, forming a capping GCIB within the reduced pressure chamber, and directing the capping GCIB onto at least one of the one or more different material surfaces, so as to form at least one capping structure on the one or more surfaces onto which the capping GCIB is directed.
    Type: Application
    Filed: March 27, 2009
    Publication date: July 23, 2009
    Applicant: TEL EPION INC.
    Inventors: Arthur J. Learn, Steven R. Sherman, Robert Michael Geffken, John J. Hautala
  • Publication number: 20090117735
    Abstract: A first species and a second species are implanted into a conductor of a substrate, which may be copper. The first species and second species may be implanted sequentially or at least partly simultaneously. Diffusion of the first species within the conductor of the substrate is prevented by the presence of the second species. In one particular example, the first species is silicon and the second species is nitrogen, although other combinations are possible.
    Type: Application
    Filed: October 21, 2008
    Publication date: May 7, 2009
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Heyun YIN, George D. Papasouliotis, Vikram Singh
  • Patent number: 7507666
    Abstract: An insulating film having a concave portion is formed on a semiconductor substrate. The inner surface of the concave portion and the upper surface of the insulating film are covered with an auxiliary film made of Cu alloy containing a first metal element other than Cu. A conductive member containing Cu as a main composition is deposited on the auxiliary film, the conductive member being embedded in the concave portion. Heat treatment is performed in an atmosphere containing P compound, Si compound or B compound. With this method, a content of element other than Cu in the conductive member can be reduced and a resistivity can be lowered.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: March 24, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yoshiyuki Nakao, Hideki Kitada, Nobuyuki Ohtsuka, Noriyoshi Shimizu
  • Patent number: 7498600
    Abstract: Provided is a variable resistance random access memory device having an n+ interfacial layer and a method of fabricating the same. The variable resistance random access memory device may include a lower electrode, an n+ interfacial layer on the lower electrode, a buffer layer on the n+ interfacial layer, an oxide layer on the buffer layer and having a variable resistance characteristic and an upper electrode on the oxide layer.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: March 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Choong-Rae Cho, Eun-Hong Lee, Stefanovich Genrikh, El Mostafa Bourim
  • Patent number: 7491575
    Abstract: A process for fabricating at least one semiconductor layer of an electronic device including: performing on a composition including a hydrolyzable zinc compound a number of activities including: (a) hydrolyzing at least a portion of the hydrolyzable zinc compound to form zinc oxide; (b) liquid depositing; and (c) optionally heating, wherein the activities (a), (b), and (c) are each accomplished a number of times in any effective arrangement, resulting in the at least one semiconductor layer comprising the zinc oxide.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: February 17, 2009
    Assignee: Xerox Corporation
    Inventors: Yiliang Wu, Beng S. Ong
  • Publication number: 20080303097
    Abstract: In one embodiment, relatively thin but wide metal bus strips overlying a high power FET are formed to conduct current to the source and drain narrow metal strips. A passivation layer is formed over the surface of the FET, and the passivation layer is etched to expose almost the entire top surface of the bus strips. A copper seed layer is then formed over the surface of the wafer, and a mask is formed to expose only the seed layer over the bus strips. The seed layer over the bus strips is then copper or gold electroplated to deposit a very thick metal layer, which effectively merges with the underlaying metal layer, to reduce on-resistance. The plating metal does not need to be passivated due to its thickness and wide line/space. Other techniques may also be used for depositing a thick metal over the exposed bus strips.
    Type: Application
    Filed: June 6, 2007
    Publication date: December 11, 2008
    Applicant: MICREL, INC.
    Inventors: Martin Alter, Richard Dolan
  • Publication number: 20080277737
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (11) and a semiconductor body (12) which is provided with at least one semiconductor element (E), wherein on the surface of the semiconductor body (12) a mesa-shaped semiconductor region (1) is formed, an insulating layer (2) is deposited over the mesa-shaped semiconductor region (1) having a smaller thickness on top of the mesa-shaped semiconductor region (1) than in a region (3) bordering the mesa-shaped semiconductor region (1), subsequently a part of the insulating layer (2) on top of the mesa-shaped semiconductor region (1) is removed freeing the upper side of the mesa-shaped semiconductor region (1), and subsequently a conducting layer (4) contacting the mesa-shaped semiconducting region (1) is deposited over the resulting structure. According to the invention the insulating layer (2) is deposited using a high-density plasma deposition process.
    Type: Application
    Filed: October 27, 2006
    Publication date: November 13, 2008
    Applicant: NXP B.V.
    Inventor: Vijayaraghavan Madakasira
  • Publication number: 20080268636
    Abstract: Embodiments as described herein provide a method for depositing barrier layers and tungsten materials on substrates. In one embodiment, a method for depositing materials is provided which includes forming a barrier layer on a substrate, wherein the barrier layer contains a cobalt silicide layer and a metallic cobalt layer, exposing the barrier layer to a soak gas containing a reducing gas during a soak process, and forming a tungsten material over the barrier layer. In one example, the barrier layer may be formed by depositing a cobalt-containing material on a dielectric surface of the substrate and annealing the substrate to form the cobalt silicide layer from a lower portion of the cobalt-containing material and the metallic cobalt layer from an upper portion of the cobalt-containing material.
    Type: Application
    Filed: July 10, 2008
    Publication date: October 30, 2008
    Inventors: Ki Hwan Yoon, Yonghwa Chris Cha, Sang Ho Yu, Hafiz Farooq Ahmad, Ho Sun Wee
  • Patent number: 7442644
    Abstract: To remove the disparate substrate from nitride semiconductor layer grown over the disparate substrate, that is made of a material different from nitride semiconductor, by irradiating the disparate substrate with laser beam having a wavelength shorter than the band gap wavelength of the nitride semiconductor layer, while supplying an acidic or alkaline etching solution to the interface between the disparate substrate and the nitride semiconductor layer.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: October 28, 2008
    Assignee: Nichia Corporation
    Inventor: Yoichi Nogami
  • Patent number: 7435679
    Abstract: Apparatus and methods of fabricating a microelectronic interconnect having an underlayer which acts as both a barrier layer and a seed layer. The underlayer is formed by co-depositing a noble metal and a barrier material, such as a refractory metal, or formed during thermal post-treatment, such as thermal annealing, conducted after two separately depositing the noble metal and the barrier material, which are substantially soluble in one another. The use of a barrier material within the underlayer prevents the electromigration of the interconnect conductive material and the use of noble material within the underlayer allows for the direct plating of the interconnect conductive material.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Juan E. Dominguez
  • Patent number: 7432198
    Abstract: An example disclosed semiconductor device includes a semiconductor substrate, a lower interlayer insulating layer formed on the substrate, a lower wire formed on the lower interlayer insulating layer, and an upper interlayer insulating layer which is formed on the lower interlayer insulating layer and has a via hole to expose the lower wire. The lower wire includes a metal layer pattern and a conductive layer pattern, and the metal layer pattern has a protruding portion and the conductive layer pattern is formed on the upper part of the protruding portion of the metal layer pattern and has a hole to expose the protruding portion.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: October 7, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang-Kwon Kim
  • Publication number: 20080150148
    Abstract: An article includes a polymeric film having a major surface, a discontinuous layer of a catalytic material on the major surface, and a metal pattern on the catalytic material. The discontinuous layer of catalytic material has an average thickness of less than 200 angstroms. Methods of forming these articles are also disclosed.
    Type: Application
    Filed: December 20, 2006
    Publication date: June 26, 2008
    Inventors: Matthew H. Frey, Tracie J. Berniard, Roxanne A. Boehmer
  • Patent number: 7382042
    Abstract: The present invention provides a COF flexible printed wiring board whose insulating layer is not melt-adhered to a heating tool, and which exhibits no drop in bonding strength during panel bonding carried out after mounting of semiconductor chips, whereby reliability and productivity of a semiconductor chip mounting line is enhanced. The invention also provides a method of producing the COF flexible printed wiring board.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: June 3, 2008
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Hidetoshi Awata, Yasuhiro Kiridoshi
  • Patent number: 7319052
    Abstract: An alloying method includes the steps of forming a metal layer on a semiconductor having been transferred to a material having a low thermal conductivity, and alloying an interface between the semiconductor and the metal layer by irradiating the interface with a laser beam having a wavelength absorbable in at least one of the semiconductor and the metal layer. The irradiation energy of the laser beam is set in a range of 20 to 100 mJ/cm2. The material having a low thermal conductivity is a resin or amorphous silicon. According to the alloying method using laser irradiation, since the entire semiconductor is not heated and only a necessary portion is locally heated, the necessary portion can be readily alloyed to be converted into an ohmic contact without exerting adverse effect on characteristics of the semiconductor device.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: January 15, 2008
    Assignee: Sony Corporation
    Inventors: Katsuhiro Tomoda, Toyoharu Ohata
  • Patent number: 7307018
    Abstract: A method of forming a conductive line suitable for decreasing a sheet resistance of the conductive lines. The method comprises steps of providing a material layer having a conductive layer formed thereon and forming a patterned mask layer on the conductive layer. In addition, a portion of the conductive layer is removed by using the patterned mask layer as a mask and a spacer is formed on a sidewall of the patterned mask layer and the conductive layer. A portion of the conductive layer is removed until the material layer is exposed to form a conductive line, wherein the spacer and the patterned mask layer serve as a mask.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: December 11, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Jui-Pin Chang, Chien-Hung Liu, Ying-Tso Chen, Shou-Wei Huang
  • Patent number: 7279380
    Abstract: A method of fabricating a chalcogenide memory cell is described. The cross-sectional area of a chalcogenide memory element within the cell is controlled by the thickness of a bottom electrode and the width of a word line. The method allows the formation of ultra small chalcogenide memory cells.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: October 9, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7229917
    Abstract: A film-formation method for a semiconductor process includes seed film formation and main film formation. In the seed film formation, a metal-containing raw material gas and a first assist gas to react therewith are supplied into a process container, which accommodates a target substrate having an underlying layer, thereby forming a seed film on the underlying layer by CVD. In the main film formation, the raw material gas and a second assist gas to react therewith are supplied into the process container, thereby forming a main film on the seed film by CVD. The seed film formation includes first and second periods performed alternately and continuously. In each first period, the raw material gas is supplied into the process container while the first assist gas is stopped. In each second period, the first assist gas is supplied into the process container while the raw material gas is stopped.
    Type: Grant
    Filed: October 5, 2004
    Date of Patent: June 12, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Takahito Umehara, Masahiko Tomita, Hirotake Fujita, Kazuhide Hasebe
  • Patent number: 7198989
    Abstract: The present invention provides a COF flexible printed wiring board whose insulating layer is not melt-adhered to a heating tool, to thereby enhance reliability and productivity of a semiconductor chip mounting line, and also provides a method of producing the COF flexible printed wiring board. The COF flexible printed wiring board contains an insulating layer, a wiring pattern, on which a semiconductor chip being mounted, formed of a conductor layer provided on at least one side of the insulating layer and a releasing layer, wherein the releasing layer is formed from a releasing agent containing at least one species selected from a silane compound and silica sol and is provided on a surface of the insulating layer, which is opposite to the mounting side of the semiconductor chip.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: April 3, 2007
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Ken Sakata, Katsuhiko Hayashi
  • Patent number: 7183209
    Abstract: The semiconductor device fabrication method of the present invention includes forming metal wirings on a semiconductor substrate, forming a first blocking layer on the semiconductor substrate and the metal wiring, forming a first FSG on the first blocking layer, forming a second blocking layer on the first FSG, forming a second FSG on the second blocking layer, and forming a protection layer on the second FSG.
    Type: Grant
    Filed: November 26, 2004
    Date of Patent: February 27, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Rae Sung Kim
  • Patent number: 7154180
    Abstract: In an electronic device comprising a first electrodes consisting of a metal oxide and a second electrode consisting of an aluminum alloy film directly contacted and electrically connected to the first electrode, the contact interface between the aluminum alloy film and the first electrode is constructed so that at least a part of alloy components constituting the aluminum alloy film exist as a precipitate or concentrated layer. This construction enables direct contact between the aluminum alloy film and the electrode consisting of a metallic oxide and allows elimination of a barrier metal in such an electronic device, and manufacturing technology therefor.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: December 26, 2006
    Assignee: Kobe Steel, Ltd.
    Inventors: Hiroshi Gotoh, Toshihiro Kugimiya, Junichi Nakai, Katsufumi Tomihisa
  • Patent number: 7098539
    Abstract: In an electronic device comprising a first electrodes consisting of a metal oxide and a second electrode consisting of an aluminum alloy film directly contacted and electrically connected to the first electrode, the contact interface between the aluminum alloy film and the first electrode is constructed so that at least a part of alloy components constituting the aluminum alloy film exist as a precipitate or concentrated layer. This construction enables direct contact between the aluminum alloy film and the electrode consisting of a metallic oxide and allows elimination of a barrier metal in such an electronic device, and manufacturing technology therefor.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: August 29, 2006
    Assignee: Kobe Steel, Ltd.
    Inventors: Hiroshi Gotoh, Toshihiro Kugimiya, Junichi Nakai, Katsufumi Tomihisa