Substrate Is Nonsemiconductor Body, E.g., Insulating Body (epo) Patents (Class 257/E21.704)
  • Publication number: 20100304555
    Abstract: The disclosure concerns a method of manufacturing a semiconductor device including forming a plurality of fins made of a semiconductor material on an insulating layer; forming a gate insulating film on side surfaces of the plurality of fins; and forming a gate electrode on the gate insulating film in such a manner that a compressive stress is applied to a side surface of a first fin which is used in an NMOSFET among the plurality of fins in a direction perpendicular to the side surface and a tensile stress is applied to a side surface of a second fin which is used in a PMOSFET among the plurality of fins in a direction perpendicular to the side surface.
    Type: Application
    Filed: August 4, 2010
    Publication date: December 2, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Akio Kaneko, Atsushi Yagishita, Satoshi Inaba
  • Publication number: 20100304538
    Abstract: To reduce variation among TFTs in manufacture of a semiconductor device including n-type thin film transistors and p-type thin film transistors. Further, another object of the present invention is to reduce the number of masks and manufacturing steps, and manufacturing time. A method of manufacturing a semiconductor device includes forming an island-shaped semiconductor layer of a first thin film transistor, then, forming an island-shaped semiconductor layer of the second thin film transistor. In the formation of the island-shaped semiconductor layer of the second thin film transistor, a gate insulating film in contact with the island-shaped semiconductor layer of the second thin film transistor is used as a protection film (an etching stopper film) for the island-shaped semiconductor layer of the first thin film transistor.
    Type: Application
    Filed: July 23, 2010
    Publication date: December 2, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kunio HOSOYA, Saishi FUJIKAWA
  • Publication number: 20100289025
    Abstract: A TFT (5) includes: a gate electrode (12a); a first semiconductor portion (14a) that overlaps the gate electrode (12a) having the gate insulating film (13) interposed therebetween; a source electrode (15a) and a drain electrode (15b) that overlap the gate electrode (12a) having the gate insulating film (13) and the first semiconductor portion (14a) interposed therebetween; a second semiconductor portion (14b) that overlaps the gate electrode (12a) between the gate insulating film (13) and the source electrode (15a); and a conductive portion (15c) that overlaps the gate electrode (12a) having the gate insulating film (13) and the second semiconductor portion (14b) interposed therebetween. The TFT (5) brings the source line (15a) and the pixel electrode (17) into conduction by a switching element that includes short-circuit portion at the source electrode (15a) and the drain electrode (15b), the second semiconductor portion (14b) and the conductive portion (15c).
    Type: Application
    Filed: August 5, 2008
    Publication date: November 18, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Hidetoshi Nakagawa
  • Publication number: 20100289037
    Abstract: The present invention provides a semiconductor device having a plurality of MOS transistors with controllable threshold values in the same face and easy to manufacture, a manufacturing method thereof and a display device. The invention is a semiconductor device having a plurality of MOS transistors in the same face each having a structure formed by stacking a semiconductor active layer, a gate insulator, and a gate electrode, wherein the semiconductor device includes: an insulating layer stacked on a side opposite to a gate electrode side of the semiconductor active layer; and a conductive electrode stacked on a side opposite to a semiconductor active layer side of the insulating layer and extending over at least two of the plurality of MOS transistors.
    Type: Application
    Filed: October 10, 2008
    Publication date: November 18, 2010
    Inventors: Shin Matsumoto, Yutaka Takafuji, Yasumori Fukushima, kenshi Tada
  • Publication number: 20100283055
    Abstract: An object of the invention is to provide a TFT substrate and a method for producing a TFT substrate which is capable of drastically reducing the production cost by decreasing the number of steps in the production process and improving production yield. A TFT substrate comprises: a substrate; a first oxide layer formed above the substrate; a second oxide layer formed above the first oxide layer with a channel part interposed therebetween; a gate insulating film formed above the substrate, the first oxide layer and the second oxide layer; a gate electrode and a gate wire formed above the gate insulating film.
    Type: Application
    Filed: November 30, 2006
    Publication date: November 11, 2010
    Inventors: Kazuyoshi Inoue, Koki Yano, Nobuo Tanaka, Tokie Tanaka
  • Publication number: 20100285628
    Abstract: A micromachined microphone is formed from a silicon or silicon-on-insulator (SOI) wafer. A fixed sensing electrode for the microphone is formed from a top silicon layer of the wafer. Various polysilicon microphone structures are formed above a front side of the top silicon layer by depositing at least one oxide layer, forming the structures, and then removing a portion of the oxide underlying the structures from a back side of the top silicon layer through trenches formed through the top silicon layer. The trenches allow sound waves to reach the diaphragm from the back side of the top silicon layer. In an SOI wafer, a cavity is formed through a bottom silicon layer and an intermediate oxide layer to expose the trenches for both removing the oxide and allowing the sound waves to reach the diaphragm. An inertial sensor may be formed on the same wafer, with various inertial sensor structures formed at substantially the same time and using substantially the same processes as corresponding microphone structures.
    Type: Application
    Filed: July 16, 2010
    Publication date: November 11, 2010
    Applicant: Analog Devices, Inc.
    Inventors: John R. Martin, Timothy J. Brosnihan, Craig Core, Thomas Kieran Nunan, Jason Weigold, Xin Zhang
  • Publication number: 20100283059
    Abstract: A semiconductor device includes: an insulating substrate; a stepwise layer arranged on the insulating substrate and having an end portion whose inclination angle is equal to or greater than 60°; an insulating layer formed on the insulating substrate and the stepwise layer so as to be elevated on the stepwise layer; a first semiconductor layer arranged at a portion adjacent to the elevated insulating layer; and a second semiconductor layer structured with a material identical to that of the first semiconductor layer, and formed in an island shape on the elevated insulating layer.
    Type: Application
    Filed: December 25, 2008
    Publication date: November 11, 2010
    Inventors: Makoto Nakazawa, Tomohiro Kimura
  • Patent number: 7829431
    Abstract: A single-crystal semiconductor layer is provided in a large area over a large-sized glass substrate, whereby a large-scale SOI substrate is obtained. A single-crystal semiconductor substrate provided with an embrittlement layer and a dummy substrate are bonded to each other, and the single-crystal semiconductor substrate is separated at the embrittlement layer as a boundary by heat treatment to form a piece of single-crystal semiconductor over the dummy substrate. The dummy substrate is divided to form a piece of single-crystal semiconductor. The piece of single-crystal semiconductor is bonded to a supporting substrate, and the piece of single-crystal semiconductor is separated from the dummy substrate. Then, a plurality of pieces of single-crystal semiconductor are arranged and transferred to the large-sized glass substrate.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: November 9, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Publication number: 20100276669
    Abstract: A nanodevice is disclosed. The nanodevice comprises: a drain region, a source region opposite to the drain region and being separated therefrom at least with a trench, and a gate region, isolated from the drain and the source regions and from the trench. The trench has a height which is between 1 nm and 30 nm.
    Type: Application
    Filed: January 7, 2009
    Publication date: November 4, 2010
    Inventors: Shachar Richter, Elad Mentovich, Itshak Kalifa
  • Publication number: 20100270563
    Abstract: A method of manufacturing a semiconductor device includes: forming, on one surface of a substrate, source electrodes and drain electrodes, a semiconductor layer provided between the source electrodes and the drain electrodes, and a gate insulator layer provided to cover a surface of the semiconductor layer; forming an insulator layer on a surface of the gate insulator layer, the insulator layer having through portions; and forming electrodes on the gate insulator layer around the bottom of the through portions and on the insulator layer in the vicinity of the through portions by a vapor film formation method simultaneously so as not to come into contact with each other, forming gate electrodes by using the electrodes formed on the gate insulator layer, and forming pixel electrodes electrically connected to the source electrodes or the drain electrodes by using the electrodes formed on the insulator layer.
    Type: Application
    Filed: April 21, 2010
    Publication date: October 28, 2010
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Takeo KAWASE
  • Publication number: 20100264492
    Abstract: A semiconductor on insulator semiconductor device has metal or silicide source and drain contact regions (38, 40), activated source and drain regions (30, 32) and a body region (34). The structure may be a double gated SOI structure or a fully depleted (FD) SOI structure. A sharp intergace and low resistance are achieved with a process that uses spacers (28) and which fully replaces the full thickness of a semiconductor layer with the contact regions.
    Type: Application
    Filed: June 6, 2006
    Publication date: October 21, 2010
    Inventors: Radu Surdeanu, Gerben Doornbos, Youri Ponomarev, Josine Loo
  • Publication number: 20100255645
    Abstract: An island-shaped single crystal semiconductor layer whose top surface has a plane within ±10° from a {211} plane is formed on an insulating surface; a non-single-crystal semiconductor layer is formed in contact with the top surface and a side surface of the single crystal semiconductor layer and on the insulating surface; the non-single-crystal semiconductor layer is irradiated with laser light to melt the non-single-crystal semiconductor layer, and to crystallize the non-single-crystal semiconductor layer formed on the insulating surface with use of the single crystal semiconductor layer as a seed crystal, so that a crystalline semiconductor layer is formed. A semiconductor device having an n-channel transistor and a p-channel transistor formed with use of the crystalline semiconductor layer is provided.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 7, 2010
    Inventors: Shunpei YAMAZAKI, Akiharu MIYANAGA, Masahiro TAKAHASHI, Takuya HIROHASHI
  • Publication number: 20100252812
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may comprise forming a channel region on a substrate, wherein the channel region comprises at least one CNT, forming at least one source/drain region adjacent the channel region, and then forming a gate electrode on the channel region, wherein a width of the gate electrode comprises about 50 percent to about 90 percent of a width of the contact region.
    Type: Application
    Filed: December 29, 2006
    Publication date: October 7, 2010
    Inventors: Arijit Raychowdhury, Ali Keshavarzi, Juanita Kurtin, Vivek De
  • Patent number: 7808070
    Abstract: A power semiconductor component is disclosed. One embodiment provides a semiconductor body, in which at least two vertical power semiconductor components are arranged. Each of the vertical power semiconductor components has a first load terminal arranged at a front side of the semiconductor body. Each of the vertical power semiconductor components has a second load terminal arranged at a rear side of the semiconductor body opposite the front side.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: October 5, 2010
    Assignee: Infineon Technologies AG
    Inventor: Peter Kanschat
  • Publication number: 20100244185
    Abstract: The present invention provides a semiconductor device, a single-crystal semiconductor thin film-including substrate, and production methods thereof, each allowing single-crystal semiconductor thin film-including single-crystal semiconductor elements produced by being transferred onto a low heat resistant insulating substrate to have enhanced transistor characteristics. The present invention is a production method of a semiconductor device including single-crystal semiconductor thin film-including single-crystal semiconductor elements on an insulating substrate, the production method including the successive steps of a first heat treatment step and a second heat treatment step, wherein in the first heat treatment step, a single-crystal semiconductor thin film undergoes a heat treatment at lower than 650° C.
    Type: Application
    Filed: October 22, 2008
    Publication date: September 30, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Yutaka Takafuji, Yasumori Fukushima, Kenshi Tada, Kazuo Nakagawa, Shin Matsumoto, Kazuhide Tomiyasu
  • Publication number: 20100237417
    Abstract: The present invention, provides a semiconductor device including a substrate including a semiconductor layer overlying an insulating layer, wherein a back gate structure is present underlying the insulating layer and a front gate structure on the semiconductor layer; a channel dopant region underlying the front gate structure of the substrate, wherein the channel dopant region has a first concentration present at an interface of the semiconductor layer and the insulating layer and at least a second concentration present at the interface of the front gate structure and the semiconductor layer, wherein the first concentration is greater than the second concentration; and a source region and drain region present in the semiconductor layer of the substrate.
    Type: Application
    Filed: February 8, 2010
    Publication date: September 23, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Geng Wang, Paul C. Parries
  • Publication number: 20100219475
    Abstract: Different strain-inducing semiconductor alloys may be incorporated into the drain and source areas of different transistors in sophisticated semiconductor devices by at least patterning the corresponding cavities in a common manufacturing sequence. Thus, the etch process may be performed on the basis of a high degree of uniformity and the subsequent epitaxial growth processes may, in some illustrative embodiments, be accomplished on the basis of only one additional lithography step.
    Type: Application
    Filed: February 23, 2010
    Publication date: September 2, 2010
    Inventors: Stephan Kronholz, Vassilios Papageorgiou
  • Publication number: 20100221876
    Abstract: In semiconductor devices, and methods of formation thereof, both planar-type memory devices and vertically oriented thin body devices are formed on a common semiconductor layer. In a memory device, for example, it is desirable to have planar-type transistors in a peripheral region of the device, and vertically oriented thin body transistor devices in a cell region of the device. In this manner, the advantageous characteristics of each type of device can be applied to appropriate functions of the memory device.
    Type: Application
    Filed: April 5, 2010
    Publication date: September 2, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Dong-Gun Park, Dong-Won Kim, Min-Sang Kim, Eun-jung Yun
  • Publication number: 20100207213
    Abstract: Contact with a floating body of an FET in SOI may be formed in a portion of one of the two diffusions of the FET, wherein the portion of the diffusion (such as N?, for an NFET) which is “sacrificed” for making the contact is a portion of the diffusion which is not immediately adjacent (or under) the gate. This works well with linked body FETs, wherein the diffusion does not extend all the way to BOX, hence the linked body (such as P?) extends under the diffusion where the contact is being made. An example showing making contact for ground to two NFETs (PG and PD) of a 6T SRAM cell is shown.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 19, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yue Tan, Zhibin Ren, Richard A. Wachnik, Haining S. Yang
  • Publication number: 20100207130
    Abstract: An active matrix substrate 40 according to the present invention includes a conductive film 44 and a wiring 80 for supplying a signal to the conductive film 44, characterized in that the wiring 80 includes a first conductive layer 61 and a second conductive layer 62 having a relatively large line width in comparison with the first conductive layer 61 and laminated so as to cover the first conductive layer 61, and the conductive film 44 is arranged in a matrix pattern, and at least a portion of the conductive film 44 is disposed overlapping the wiring 80.
    Type: Application
    Filed: May 23, 2008
    Publication date: August 19, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Hideaki Sunohara
  • Publication number: 20100203687
    Abstract: The present invention is an array substrate for use in a liquid crystal display device, which includes a gate electrode, a gate line and a gate pad on a substrate, wherein the gate electrode, the gate line and the gate pad have a double-layered structure consisting of a first metal layer and a first barrier metal layer in series from the substrate, and wherein the first metal is one of aluminum and aluminum alloy; a gate insulation layer on the substrate covering the gate electrode, gate line and gate pad; an active layer and an ohmic contact layer sequentially formed on the gate insulation layer and over the gate electrode; a data line on the gate insulation layer perpendicularly crossing the gate line, source and drain electrodes contacting the ohmic contact layer, and a data pad on the gate insulation layer, wherein the data line, the source and drain electrode and the data pad have a double-layered structure consisting of a second barrier metal layer and a second metal layer of copper; a passivation layer
    Type: Application
    Filed: April 15, 2010
    Publication date: August 12, 2010
    Inventors: Won-Ho CHO, Gyoo-Chul Jo, Gue-Tai Lee, Jin-Gyu Kang, Beung-Hwa Jeong, Jin-Young Kim
  • Publication number: 20100181603
    Abstract: In one embodiment, a metal-semiconductor field effect transistor (MESFET) comprises a first silicon layer, an insulator layer formed on the first silicon layer, and a second silicon layer formed on the insulator layer. A gate region, a source region, and a drain region are formed in the second silicon layer. A first partial trench is formed in the second silicon layer between at least a portion of the gate region and at least a portion of the source region, wherein the first partial trench stops short of the insulator layer. A second partial trench formed in the second silicon layer between at least a portion of the gate region and at least a portion of the drain region, wherein the second partial trench stops short of the insulator layer. First and second oxide spacers are formed in the first and second partial trenches. The first and second oxide spacers and the source region, gate region, and the drain region are substantially planar.
    Type: Application
    Filed: January 22, 2009
    Publication date: July 22, 2010
    Applicant: Honeywell International Inc.
    Inventor: Paul Fechner
  • Publication number: 20100181547
    Abstract: A semiconductor device includes: diffusion layers formed at the front surface of a substrate; low-resistance parts formed at the front surfaces of the diffusion layers so as to have resistance lower than the diffusion layer; and rear contact electrodes passing through the substrate from the rear surface of the substrate to be connected to the low-resistance parts through the diffusion layers.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 22, 2010
    Applicant: Sony Corporation
    Inventor: Hideaki Kuroda
  • Publication number: 20100181596
    Abstract: A high voltage horizontal IGBT, which is an aspect of a semiconductor device relating to the present invention, has a buffer region formed in an SOI substrate and extending from a surface of the SOI substrate to a surface of a buried oxide film. An interface between the buffer region and a drift region is positioned equally in a vicinity of a bottom of the buffer region and in a vicinity of a surface of the buffer region or shifted toward a body region in the vicinity of the bottom of the buffer region compared to that in the vicinity of the surface of the buffer region. With this structure, a concentration of electric field in the vicinity of the bottom of the buffer region is moderated, whereby a collector-emitter breakdown voltage can further be increased.
    Type: Application
    Filed: January 19, 2010
    Publication date: July 22, 2010
    Inventors: Satoshi Suzuki, Hiroyoshi Ogura
  • Publication number: 20100173457
    Abstract: Shrinking the dimensions of PMOS or NMOS thin film transistors is limited by dopant diffusion. In these devices an undoped or lightly doped channel region is interposed between heavily doped source and drain regions. When the device is built with very short gate length, source and drain dopants will diffuse into the channel, potentially shorting it and ruining the device. A suite of innovations is described which may be used in various combinations to minimize dopant diffusion during fabrication of a PMOS or NMOS polycrystalline thin film transistor, resulting in a highly scalable thin film transistor. This transistor is particularly suitable for use in a monolithic three dimensional array of stacked device levels.
    Type: Application
    Filed: March 10, 2010
    Publication date: July 8, 2010
    Inventors: S. Brad Herner, Abhijit Banyopadhyay
  • Publication number: 20100155842
    Abstract: A portion of a top semiconductor layer of a semiconductor-on-insulator (SOI) substrate is patterned into a semiconductor fin having substantially vertical sidewalls. A portion of a body region of the semiconductor fin is exposed on a top surface of the semiconductor fin between two source regions having a doping of a conductivity type opposite to the body region of the semiconductor fin. A metal semiconductor alloy portion is formed directly on the two source regions and the top surface of the exposed body region between the two source regions. The doping concentration of the exposed top portion of the body region may be increased by ion implantation to provide a low-resistance contact to the body region, or a recombination region having a high-density of crystalline defects may be formed. A hybrid surface semiconductor-on-insulator (HSSOI) metal-oxide-semiconductor-field-effect-transistor (MOSFET) thus formed has a body region that is electrically tied to the source region.
    Type: Application
    Filed: December 23, 2008
    Publication date: June 24, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Publication number: 20100140711
    Abstract: Generation of dislocation and increase of diffusion resistance at edge portions of source/drain regions in a CMIS are prevented. When source/drain regions in a CMIS are formed, argon is implanted to a P-well layer as a dislocation-suppressing element and nitrogen is implanted to an N-well layer as a dislocation-suppressing element before an ion implantation of impurities to a silicon substrate. In this manner, by separately implanting dislocation-suppressing elements suitable for each of the P-well layer and the N-well layer as well as suppressing the generation of dislocation, increase of diffusion resistance can be suppressed, yield can be improved, and the reliability of devices can be increased.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 10, 2010
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventors: Norio ISHITSUKA, Hiroyuki OHTA, Yasuhiro KIMURA, Natsuo YAMAGUCHI, Takashi TAKEUCHI, Shoji YOSHIDA
  • Publication number: 20100140710
    Abstract: A semiconductor device includes: a semiconductor layer; an element isolation region formed in the semiconductor layer for separation between a memory element part and a logic element part; first and second field-effect transistors formed in the memory element part and having first and second gate electrodes on a first surface side of the semiconductor layer and a second surface side opposite to the first surface, respectively, and having a source and drain region in common with each other; a third field-effect transistor formed in the logic element part and having a third gate electrode on the second surface side; and first and second insulating films formed on the semiconductor layer to cover the first field-effect transistor and the second and third field-effect transistors, respectively. The first field-effect transistor and the second field-effect transistor are fully-depleted field-effect transistors. The first gate electrode and the second gate electrode are electrically connected.
    Type: Application
    Filed: December 3, 2009
    Publication date: June 10, 2010
    Applicant: SONY CORPORATION
    Inventor: Hideaki Kuroda
  • Publication number: 20100129969
    Abstract: To provide a highly reliable semiconductor device and a method for manufacturing the semiconductor device, where defects such as a short between a gate electrode layer and a semiconductor layer and a leakage current, which would otherwise be caused due to a coverage defect of the semiconductor layer with an insulating layer, can be prevented. In order to form a plurality of semiconductor elements over an insulating surface, a semiconductor layer is not separated into a plurality of island-shape semiconductor layers, but instead, element isolation regions, which electrically insulate a plurality of element regions functioning as semiconductor elements, are formed in one semiconductor layer, i.e., a first element isolation region with high resistance and a second element isolation region which has a contact with the element region and has a conductivity type opposite to that of the source and drain regions of the element region.
    Type: Application
    Filed: January 27, 2010
    Publication date: May 27, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Ikuko KAWAMATA, Yasuyuki ARAI
  • Publication number: 20100117135
    Abstract: A semiconductor device is formed on a SOI substrate having a semiconductor substrate, a buried oxide film formed on the semiconductor substrate, and a semiconductor layer formed on the buried oxide film, the semiconductor substrate having a first conductive type, the semiconductor layer having a second conductive type, wherein the buried oxide film has a first opening opened therethrough for communicating the semiconductor substrate with the semiconductor layer, the semiconductor layer is arranged to have a first buried portion buried in the first opening in contact with the semiconductor substrate and a semiconductor layer main portion positioned on the first buried portion and on the buried oxide film, the semiconductor substrate has a connection layer buried in a surface of the semiconductor substrate and electrically connected to the first buried portion in the first opening, the connection layer having the second conductive type, and the semiconductor device includes a contact electrode buried in a secon
    Type: Application
    Filed: September 22, 2009
    Publication date: May 13, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Makoto MIZUKAMI, Kiyohito Nishihara, Masaki Kondo, Takashi Izumida, Hirokazu Ishida, Atsushi Fukumoto, Fumiki Aiso, Daigo Ichinose, Tadashi Iguchi
  • Publication number: 20100090215
    Abstract: The present invention relates to a thin film transistor and a method of manufacturing the same. More particularly, the present invention relates to a thin film transistor that includes a zinc oxide material including Si as a channel material of a semiconductor layer, and a method of manufacturing the same.
    Type: Application
    Filed: April 25, 2008
    Publication date: April 15, 2010
    Inventor: Jung-Hyoung Lee
  • Publication number: 20100084709
    Abstract: When a bulk silicon substrate and an SOI substrate are used separately, a board area is increased and so it is impossible to reduce the size of a semiconductor device as a whole. On the other hand, when an SOI-type MISFET and a bulk-type MISFET are formed on a same substrate, the SOI-type MISFET and the bulk-type MISFET should be formed in separate steps respectively, and thus the process gets complicated. A single crystal semiconductor substrate and an SOI substrate separated from the single crystal semiconductor substrate by a thin buried insulating film and having a thin single crystal semiconductor thin film (SOI layer) are used, and well diffusion layer regions, drain regions, gate insulating films and gate electrodes of the SOI-type MISFET and the bulk-type MISFET are formed in same steps. Since the bulk-type MISFET and the SOI-type MISFET can be formed on the same substrate, the board area can be reduced.
    Type: Application
    Filed: June 30, 2006
    Publication date: April 8, 2010
    Inventors: Ryuta Tsuchiya, Shinichiro Kimura
  • Publication number: 20100081240
    Abstract: A method of manufacturing a semiconductor device includes forming a plurality of Fins including a semiconductor material on an insulation layer; forming gate insulation films on sidewalls of the Fins; forming a gate electrode which extends in a direction of arrangement of the Fins and which is electrically insulated from the Fins, the gate electrode is common in the Fins on the gate insulation film; implanting an impurity into portions of the Fins by using the gate electrode as a mask to form a source-drain diffusion layer, the portions of the Fins extending on both sides of the gate electrodes; and depositing a conductive material on both sides of the Fins to connect the Fins to each other.
    Type: Application
    Filed: September 17, 2009
    Publication date: April 1, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Atsushi Yagishita
  • Publication number: 20100065885
    Abstract: A semiconductor on insulator device has an insulator layer, an active layer (40) on the insulator layer, a lateral arrangement of collector (10), emitter (30) and base (20) on the active layer, and a high Base-dose region (70) extending under the emitter towards the insulator to suppress vertical current flowing under the emitter. This region (70) reduces the dependence of current-gain and other properties on the substrate (Handle-wafer) voltage. This region can be formed of the same doping type as the base, but having a stronger doping. It can be formed by masked alignment in the same step as an n type layer used as the body for a P-type DMOS transistor.
    Type: Application
    Filed: December 15, 2005
    Publication date: March 18, 2010
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS N.V.
    Inventor: Adrianus W. Ludikhuize
  • Patent number: 7651897
    Abstract: A method for manufacturing a chip with a metal heat flow path extending between a terminal of a transistor thereof and bulk semiconductor material of the chip (e.g., from the terminal to a substrate over which the transistor is formed or to the body of a semiconductor device adjacent to the transistor). The chip can be implemented by a semiconductor on insulator (SOI) process and can include at least one bipolar or MOS transistor, an insulator underlying the transistor, a semiconductor substrate underlying the insulator, and a metal heat flow path extending between a terminal of the transistor through the insulator to the substrate. Preferably, the metal heat flow path is a metal interconnect formed by a process step (or steps) of the same type performed to produce other metal interconnects of the chip.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: January 26, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Vladislav Vashchenko, Peter J. Hopper, Yuri Mirgorodski
  • Publication number: 20100015764
    Abstract: The present invention provides a TFT including at least one LDD region in a self-alignment manner without forming a sidewall spacer and increasing the number of manufacturing steps. A photomask or a reticle provided with an assist pattern that is formed of a diffraction grating pattern or a semi-transmitting film and has a function of reducing light intensity is employed in a photolithography step of forming a gate electrode, an asymmetrical resist pattern having a region with a thick thickness and a region with a thickness thinner than that of the above region on one side is formed, a gate electrode having a stepped portion is formed, and an LDD region is formed in a self-alignment manner by injecting an impurity element to the semiconductor layer through the region with a thin thickness of the gate electrode.
    Type: Application
    Filed: July 30, 2009
    Publication date: January 21, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hideto Ohnuma, Shigeharu Monoe, Shunpei Yamazaki
  • Publication number: 20090305470
    Abstract: Methods, structure and design structure having isolated back gates for fully depleted semiconductor-on-insulator (FDSOI) devices are presented. In one embodiment, a method may include providing a FDSOI substrate having a SOI layer over a buried insulator over a first polarity-type substrate, the first polarity-type substrate including a second polarity-type well therein of opposite polarity than the first polarity; forming a trench structure in the FDSOI substrate; forming an active region to each side of the trench structure in the SOI layer; and forming a PFET on the active region on one side of the trench structure and an NFET on the active region on the other side of the trench structure.
    Type: Application
    Filed: June 10, 2008
    Publication date: December 10, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Publication number: 20090227075
    Abstract: An etchant composition that allows simplification and optimization of semiconductor manufacturing process is presented, along with a method of patterning a conductive layer using the etchant and a method of manufacturing a flat panel display using the etchant. The etchant includes nitric acid, phosphoric acid, acetic acid, and an acetate compound in addition to water.
    Type: Application
    Filed: February 24, 2009
    Publication date: September 10, 2009
    Inventors: Bong-Kyun Kim, Hong-Sick Park, Jong-Hyun Choung, Sun-Young Hong, Ji-Sun Lee, Byeong-Jin Lee, Kui-Jong Baek, Tai-Hyung Lee, Yong-Sung Song
  • Publication number: 20090191670
    Abstract: Systems and methods of fabricating silicon-based thin film transistors (TFTs) on flexible substrates. The systems and methods incorporate and combine deposition processes such as chemical vapor deposition and plasma-enhance vapor deposition, printing, coating, and other deposition processes, with laser annealing, etching techniques, and laser doping, all performed at low temperatures such that the precision, resolution, and registration is achieved to produce a high performing transistor. Such TFTs can be used in applications such as displays, packaging, labeling, and the like.
    Type: Application
    Filed: January 26, 2009
    Publication date: July 30, 2009
    Inventors: John M. Heitzinger, John Snyder
  • Publication number: 20090189204
    Abstract: Systems and methods of fabricating silicon-based thin film transistors (TFTs) on flexible substrates. The systems and methods incorporate and combine deposition processes such as chemical vapor deposition and plasma-enhance vapor deposition, printing, coating, and other deposition processes, with laser annealing, etching techniques, and laser doping, all performed at low temperatures such that the precision, resolution, and registration is achieved to produce a high performing transistor. Such TFTs can be used in applications such as displays, packaging, labeling, and the like.
    Type: Application
    Filed: January 26, 2009
    Publication date: July 30, 2009
    Inventors: John M. Heitzinger, John Snyder
  • Publication number: 20090176338
    Abstract: A fully-depleted (FD) Silicon-on-Insulator (SOI) MOSFET access transistor comprising a gate electrode of a conductivity type which is opposite the conductivity type of the source/drain regions and a method of fabrication are disclosed.
    Type: Application
    Filed: March 10, 2009
    Publication date: July 9, 2009
    Inventors: Hongmei Wang, John K. Zahurak
  • Patent number: 7524710
    Abstract: A silicon-on-insulator metal oxide semiconductor device comprising ultrathin silicon-on-sapphire substrate; at least one P-channel MOS transistor formed in the ultrathin silicon layer; and N-type impurity implanted within the ultrathin silicon layer and the sapphire substrate such that peak N-type impurity concentration in the sapphire layer is greater than peak impurity concentration in the ultrathin silicon layer.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: April 28, 2009
    Assignee: Peregrine Semiconductor Corporation
    Inventors: Anthony M. Miscione, George Imthurn, Eugene F. Lyons, Michael A. Stuber
  • Publication number: 20090095956
    Abstract: A semiconductor device of the present invention is arranged in such a manner that a MOS non-single-crystal silicon thin-film transistor including a non-single-crystal silicon thin film made of polycrystalline silicon, a MOS single-crystal silicon thin-film transistor including a single-crystal silicon thin film, and a metal wiring are provided on an insulating substrate. With this arrangement, (i) a semiconductor device in which a non-single-crystal silicon thin film and a single-crystal silicon thin-film device are formed and high-performance systems are integrated, (ii) a method of manufacturing the semiconductor device, and (iii) a single-crystal silicon substrate for forming the single-crystal silicon thin-film device of the semiconductor device are obtained.
    Type: Application
    Filed: September 29, 2008
    Publication date: April 16, 2009
    Inventors: Yutaka TAKAFUJI, Takashi Itoga
  • Patent number: 7498210
    Abstract: An LTPS-LCD structure and a method for manufacturing the structure are provided. The structure comprises a substrate where a plurality of pixels are formed thereon. Each of these pixels comprises a control area, a capacitance area, and a display area. The structure is initially formed with a transparent electrode on the substrate, followed by a control device, a capacitance storage device. The display unit is then formed on the control area, the capacitance area, and the display area, respectively. As a result, the capacitance of the structure can be enhanced and the manufacturing processes of masks can be reduced.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: March 3, 2009
    Assignee: Au Optronics Corp.
    Inventor: Yi-Sheng Cheng
  • Patent number: 7494880
    Abstract: An oxide film is formed on an SOI layer, an isolation oxide film and a gate electrode. A nitride film is formed on the oxide film. Next, anisotropic etching is performed only on the nitride film to form sidewalls on opposite side surfaces of the gate electrode. Thus, the oxide film is not etched. Next, an N-type impurity is implanted through the oxide film to form source/drain regions in an upper portion of the SOI layer In this step, adjusting the implantation energy so that the impurity reaches the buried oxide film provides the source/drain regions in contact with the buried oxide film.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: February 24, 2009
    Assignee: Renesas Technology Corp.
    Inventor: Takashi Ipposhi
  • Publication number: 20090017572
    Abstract: Nanoelectromechanical transistors (NEMTs) and methods of forming the same are disclosed. In one embodiment, an NEMT may include a substrate including a gate, a source region and a drain region; an electromechanically deflectable nanotube member; and a channel member electrically insulatively coupled to the nanotube member so as to be aligned with the source region and the drain region, wherein the electromechanical deflection of the nanotube member is controllable, in response to an electrical potential applied to the gate and the nanotube member, between an off state and an on state, the on state placing the channel member in electrical connection with the source region and the drain region to form a current path.
    Type: Application
    Filed: July 11, 2007
    Publication date: January 15, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Huilong Zhu
  • Publication number: 20090001503
    Abstract: A semiconductor device having a floating body element and a bulk body element and a manufacturing method thereof are provided. The semiconductor device includes a substrate having a bulk body element region and floating body element regions. An isolation region defining an active region of the bulk body element region of the substrate and defining first buried patterns and first active patterns, which are sequentially stacked on a first element region of the floating body element regions of the substrate is provided. A first buried dielectric layer interposed between the first buried patterns and the substrate and between the first buried patterns and the first active patterns is provided.
    Type: Application
    Filed: June 25, 2008
    Publication date: January 1, 2009
    Inventors: Chang-Woo Oh, Dong-Gun Park
  • Publication number: 20080308897
    Abstract: A substrate with which a semiconductor device with excellent electric characteristics and high reliability can be manufactured is provided. An aspect of the invention is a method for manufacturing a substrate for manufacturing a semiconductor device: a first silicon oxide film, a silicon nitride film, and a second silicon oxide film are stacked in this order over a surface of a semiconductor substrate by a thermal CVD method, and then a weakened layer is formed at a given depth of the semiconductor substrate; the semiconductor substrate and a substrate having an insulating surface are arranged to face each other, and the second silicon oxide film provided for the semiconductor substrate and a supporting substrate are bonded to each other; and the semiconductor substrate is separated at the weakened layer by heat treatment, whereby a semiconductor film separated from the semiconductor substrate is left over the substrate having the insulating surface.
    Type: Application
    Filed: June 3, 2008
    Publication date: December 18, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tetsuya Kakehata, Kazutaka Kuriki
  • Publication number: 20080308837
    Abstract: A Silicon on Insulator (SOI) Integrated Circuit (IC) chip with devices such as a vertical Silicon Controlled Rectifier (SCR), vertical bipolar transistors, a vertical capacitor, a resistor and/or a vertical pinch resistor and method of making the device(s). The devices are formed in a seed hole through the SOI surface layer and insulator layer to the substrate. A buried diffusion, e.g., N-type, is formed through the seed hole in the substrate. A doped epitaxial layer is formed on the buried diffusion and may include multiple doped layers, e.g., a P-type layer and an N-type layer. Polysilicon, e.g., P-type, may be formed on the doped epitaxial layer. Contacts to the buried diffusion are formed in a contact liner.
    Type: Application
    Filed: June 14, 2007
    Publication date: December 18, 2008
    Inventors: Robert J. Gauthier, JR., Junjun Li, Souvick Mitra, Mahmoud A. Mousa, Christopher S. Putnam
  • Publication number: 20080303089
    Abstract: An integrated circuit system includes an integrated circuit, forming a triode near the integrated circuit, and attaching a connector to the triode and the integrated circuit.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Jianhong Zhu, Ruigang Li, James F. Buller, David Wu