Only Group Iii-v Compounds (epo) Patents (Class 257/E29.089)
  • Patent number: 8482103
    Abstract: A nitride semiconductor template including a substrate, a mask layer, a first nitride semiconductor layer and a second nitride semiconductor is provided. The substrate has a plurality of trenches, each of the trenches has a bottom surface, a first inclined sidewall and a second inclined sidewall. The mask layer covers the second inclined sidewall and exposes the first inclined sidewall. The first nitride semiconductor layer is disposed over the substrate and the mask layer. The first nitride semiconductor layer fills the trenches and in contact with the first inclined sidewall. The first nitride semiconductor layer has voids located outside the trenches and parts of the mask layer are exposed by the voids. The first nitride semiconductor layer has a plurality of nano-rods. The second nitride semiconductor layer covers the nano-rods. The spaces between the nano-rods are not entirely filled by the second nitride semiconductor layer.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: July 9, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Hsun-Chih Liu, Chen-Zi Liao, Yen-Hsiang Fang, Rong Xuan, Chu-Li Chao
  • Patent number: 8476640
    Abstract: Solid state lighting devices and associated methods of manufacturing are disclosed herein. In one embodiment, a solid state light device includes a light emitting diode with an N-type gallium nitride (GaN) material, a P-type GaN material spaced apart from the N-type GaN material, and an indium gallium nitride (InGaN) material directly between the N-type GaN material and the P-type GaN material. At least one of the N-type GaN, InGaN, and P-type GaN materials has a non-planar surface.
    Type: Grant
    Filed: March 5, 2012
    Date of Patent: July 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Niraj Rana, Zaiyuan Ren
  • Publication number: 20130161633
    Abstract: A semiconductor structure includes a GaN substrate having a first surface and a second surface opposing the first surface. The GaN substrate is characterized by a first conductivity type and a first dopant concentration. The semiconductor structure also includes a first GaN epitaxial layer of the first conductivity type coupled to the second surface of the GaN substrate and a second GaN epitaxial layer of a second conductivity type coupled to the first GaN epitaxial layer. The second GaN epitaxial layer includes an active device region, a first junction termination region characterized by an implantation region having a first implantation profile, and a second junction termination region characterized by an implantation region having a second implantation profile.
    Type: Application
    Filed: December 22, 2011
    Publication date: June 27, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Hui Nie, Andrew P. Edwards, Donald R. Disney, Richard J. Brown, Isik C. Kizilyalli
  • Publication number: 20130161634
    Abstract: A method for fabricating an edge termination, which can be used in conjunction with GaN-based materials, includes providing a substrate of a first conductivity type. The substrate has a first surface and a second surface. The method also includes forming a first GaN epitaxial layer of the first conductivity type coupled to the first surface of the substrate and forming a second GaN epitaxial layer of a second conductivity type opposite to the first conductivity type. The second GaN epitaxial layer is coupled to the first GaN epitaxial layer. The substrate, the first GaN epitaxial layer and the second GaN epitaxial layer can be referred to as an epitaxial structure.
    Type: Application
    Filed: December 22, 2011
    Publication date: June 27, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Donald R. Disney, Isik C. Kizilyalli, Linda Romano, Andrew Edwards, Hui Nie
  • Publication number: 20130161636
    Abstract: Methods for fabricating a semiconductor substrate include forming a first substrate layer over a surface of a first semiconductor layer, and thermally spraying a second substrate layer on a side of the first substrate layer opposite the first semiconductor layer. At least one additional semiconductor layer is epitaxially grown over the first semiconductor layer on a side thereof opposite the first substrate layer. At least one of the first substrate layer and the second substrate layer may be formulated to exhibit a Coefficient of Thermal Expansion (CTE) closely matching a CTE of at least one of the first semiconductor layer and the at least one additional semiconductor layer. Semiconductor structures are fabricated using such methods.
    Type: Application
    Filed: December 23, 2011
    Publication date: June 27, 2013
    Applicant: SOITEC
    Inventors: Christiaan J. Werkhoven, Chantal Arena
  • Publication number: 20130163139
    Abstract: A semiconductor die includes a substrate comprising a first layer of a first wide band gap semiconductor material having a first conductivity, a second layer of a second wide band gap semiconductor material having a second conductivity different from the first conductivity, in electrical contact with the first layer, a third layer of a third wide band gap semiconductor material having a third conductivity different from the first conductivity and second conductivity, in electrical contact with the second layer, a fourth layer of a fourth wide band gap semiconductor material having the second conductivity, in electrical contact with the third layer, and a fifth layer of a fifth wide band gap semiconductor material having the first conductivity and in electrical contact with the fourth layer, wherein the first layer, the second layer, the third layer, the fourth layer, and the fifth layer are sequentially arranged to form a structure.
    Type: Application
    Filed: December 22, 2011
    Publication date: June 27, 2013
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Avinash Srikrishnan Kashyap, Stanislav Ivanovich Soloviev
  • Patent number: 8471365
    Abstract: A nitride semiconductor substrate having a main surface serving as a semipolar plane and provided with a chamfered portion capable of effectively preventing cracking and chipping, a semiconductor device fabricated using the nitride semiconductor substrate, and a method for manufacturing the nitride semiconductor substrate and the semiconductor device are provided. The nitride semiconductor substrate includes a main surface inclined at an angle of 71° or more and 79° or less with respect to the (0001) plane toward the [1-100] direction or inclined at an angle of 71° or more and 79° or less with respect to the (000-1) plane toward the [?1100] direction; and a chamfered portion located at an edge of an outer periphery of the main surface. The chamfered portion is inclined at an angle ?1 or ?2 of 5° or more and 45° or less with respect to adjacent one of the main surface and a backside surface on a side opposite to the main surface.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: June 25, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Sayuri Yamaguchi, Naoki Matsumoto, Hidenori Mikami
  • Patent number: 8471309
    Abstract: A compound semiconductor device includes a substrate; a compound semiconductor layer formed over the substrate; and a gate electrode formed over the compound semiconductor layer with a gate insulating film arranged therebetween. The gate insulating film includes a first layer having reverse spontaneous polarization, the direction of which is opposite to spontaneous polarization of the compound semiconductor layer.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: June 25, 2013
    Assignee: Fujitsu Limited
    Inventor: Atsushi Yamada
  • Patent number: 8471264
    Abstract: Assuming that r (m) represents the radius of a GaN substrate, t1 (m) represents the thickness of the GaN substrate, h1 (m) represents a warp of the GaN substrate before formation of an epitaxialwafer, t2 (m) represents the thickness of an AlxGa(1-X)N layer, h2 (m) represents a warp of the epitaxialwafer, a1 represents the lattice constant of GaN and a2 represents the lattice constant of AlN, the value t1 found by the following expression is decided as the minimum thickness (t1) of the GaN substrate: (1.5×1011×t13+1.2×1011×t23)×{1/(1.5×1011×t1)+1/(1.2×1011×t2)}/{15.96×x×(1?a2/a1)}×(t1+t2)+(t1×t2)/{5.32×x×(1?a2/a1)}?(r2+h2)/2h=0 A GaN substrate having a thickness of at least this minimum thickness (t1) and less than 400 ?m is formed.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: June 25, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Fumitake Nakanishi, Yoshiki Miura
  • Publication number: 20130153917
    Abstract: A vertical III-nitride field effect transistor includes a drain comprising a first III-nitride material, a drain contact electrically coupled to the drain, and a drift region comprising a second III-nitride material coupled to the drain and disposed adjacent to the drain along a vertical direction. The field effect transistor also includes a channel region comprising a third III-nitride material coupled to the drift region, a gate region at least partially surrounding the channel region, and a gate contact electrically coupled to the gate region. The field effect transistor further includes a source coupled to the channel region. The source includes a GaN-layer coupled to an InGaN layer. The channel region is disposed between the drain and the source along the vertical direction such that current flow during operation of the vertical III-nitride field effect transistor is along the vertical direction.
    Type: Application
    Filed: December 14, 2011
    Publication date: June 20, 2013
    Applicant: EPOWERSOFT, Inc.
    Inventors: Linda Romano, Andrew Edwards, Dave P. Bour, Isik C. Kizilyalli
  • Patent number: 8466471
    Abstract: A nitride semiconductor free-standing substrate includes a nitride semiconductor crystal and an inversion domain with a density of not less than 10/cm2 and not more than 600/cm2 in a section parallel to a surface of the substrate and inside the substrate. A method for making the nitride semiconductor free-standing substrate includes a nitride semiconductor crystal growth step of growing on a heterosubstrate a nitride semiconductor crystal including an inversion domain with a density of not less than 10/cm2 and not more than 600/cm2 by adjusting a growth condition at an initial growth stage of the nitride semiconductor crystal, and a separation step for separating the grown nitride semiconductor crystal from the heterosubstrate to form the nitride semiconductor free-standing substrate.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: June 18, 2013
    Assignee: Hitachi Cable, Ltd.
    Inventors: Takayuki Suzuki, Takeshi Meguro, Takeshi Eri
  • Publication number: 20130146886
    Abstract: A semiconductor structure includes a GaN substrate with a first surface and a second surface. The GaN substrate is characterized by a first conductivity type and a first dopant concentration. A first electrode is electrically coupled to the second surface of the GaN substrate. The semiconductor structure further includes a first GaN epitaxial layer of the first conductivity type coupled to the first surface of the GaN substrate and a second GaN layer of a second conductivity type coupled to the first GaN epitaxial layer. The first GaN epitaxial layer comprises a channel region. The second GaN epitaxial layer comprises a gate region and an edge termination structure. A second electrode coupled to the gate region and a third electrode coupled to the channel region are both disposed within the edge termination structure.
    Type: Application
    Filed: December 9, 2011
    Publication date: June 13, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Donald R. Disney, Hui Nie, Isik C. Kizilyalli, Richard J. Brown
  • Publication number: 20130146885
    Abstract: A semiconductor structure includes a III-nitride substrate having a top surface and an opposing bottom surface and a first III-nitride layer of a first conductivity type coupled to the top surface of the III-nitride substrate. The semiconductor structure also includes a second III-nitride layer of a second conductivity type coupled to the first III-nitride layer along a vertical direction and a third III-nitride layer of a third conductivity type coupled to the second III-nitride layer along the vertical direction. The semiconductor structure further includes a first trench extending through a portion of the third III-nitride layer to the first III-nitride layer, a second trench extending through another portion of the third III-nitride layer to the second III-nitride layer, and a first metal layer coupled to the second and the third III-nitride layers.
    Type: Application
    Filed: December 9, 2011
    Publication date: June 13, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Richard J. Brown, Hui Nei, Andrew Edwards, Isik Kizilyalli, David Bour, Thomas Prunty, Linda Romano, Madhan Raj
  • Publication number: 20130146896
    Abstract: A method for fabricating air media layer within the semiconductor optical device is provided. The step of method includes a substrate is provided, a GaN thin film is formed on the substrate, a sacrificial layer is formed on the GaN thin film, and a nitride-containing semiconductor layer is formed on the sacrificial layer. The semiconductor optical device is immersed with an acidic solution to remove the portion of sacrificial layer to form an air media layer around the residual sacrificial layer.
    Type: Application
    Filed: March 8, 2012
    Publication date: June 13, 2013
    Applicant: National Chiao Tung University
    Inventors: Tien-Chang LU, Huei-Min Huang, Hao-Chung Kuo, Shing-Chung Wang
  • Publication number: 20130140579
    Abstract: A method of integrating benzocyclobutene (BCB) layers with a substrate is provided along with a corresponding device. A method includes forming a first BCB layer on the substrate and depositing a first metal layer on the first BCB layer and within vias defined by the first metal layer. The method also forms a second BCB layer on the first metal layer and deposits a second metal layer on the second BCB layer and within vias defined by the second metal layer. The second metal layer extends through the vias defined by the second metal layer to establish an operable connection with the first metal layer. The first and second metal layers are independent of an electrical connection to any circuit element carried by the substrate, but the first and second metal layers secure the second BCB layer to the underlying structure and reduce the likelihood of delamination.
    Type: Application
    Filed: December 2, 2011
    Publication date: June 6, 2013
    Applicant: THE BOEING COMPANY
    Inventors: Hasan Sharifi, Alexandros D. Margomenos, Ara K. Kurdoghlian, Miroslav Micovic, Keisuke Shinohara, Colleen M. Butler
  • Publication number: 20130140578
    Abstract: A circuit structure includes a substrate, an unintentionally doped gallium nitride (UID GaN) layer over the substrate, a donor-supply layer over the UID GaN layer, a gate structure, a drain, and a source over the donor-supply layer. A number of islands are over the donor-supply layer between the gate structure and the drain. The gate structure disposed between the drain and the source. The gate structure is adjoins at least a portion of one of the islands and/or partially disposed over at least a portion of at least one of the islands.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Ju YU, Chih-Wen HSIUNG, Fu-Wei YAO, Chun-Wei HSU, Jiun-Lei Jerry YU, Fu-Chih YANG
  • Patent number: 8455929
    Abstract: A device includes a semiconductor substrate, and insulation regions in the semiconductor substrate. Opposite sidewalls of the insulation regions have a spacing between about 70 nm and about 300 nm. A III-V compound semiconductor region is formed between the opposite sidewalls of the insulation regions.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: June 4, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 8455860
    Abstract: An integrated circuit structure includes a substrate; a channel layer over the substrate, wherein the channel layer is formed of a first III-V compound semiconductor material; a highly doped semiconductor layer over the channel layer; a gate dielectric penetrating through and contacting a sidewall of the highly doped semiconductor layer; and a gate electrode on a bottom portion of the gate dielectric. The gate dielectric includes a sidewall portion on a sidewall of the gate electrode.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: June 4, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Clement Hsingjen Wann
  • Publication number: 20130134434
    Abstract: A nitride semiconductor device includes a main surface and an indicator portion. The main surface is a plane inclined by at least 71° and at most 79° in a [1-100] direction from a (0001) plane or a plane inclined by at least 71° and at most 79° in a [?1100] direction from a (000-1) plane. The indicator portion indicates a (?1017) plane, a (10-1-7) plane, or a plane inclined by at least ?4° and at most 4° in the [1-100] direction from these planes and inclined by at least ?0.5° and at most 0.5° in a direction orthogonal to the [1-100] direction.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Hidenori Mikami, Naoki Matsumoto, Hideki Osada, Yusuke Yoshizumi, Sayuri Yamaguchi
  • Publication number: 20130134435
    Abstract: A high electron mobility transistor (HEMT) includes a silicon substrate, an unintentionally doped gallium nitride (UID GaN) layer over the silicon substrate. The HEMT further includes a donor-supply layer over the UID GaN layer, a gate structure, a drain, and a source over the donor-supply layer. The HEMT further includes a dielectric layer having one or more dielectric plug portions in the donor-supply layer and top portions between the gate structure and the drain over the donor-supply layer. A method for making the HEMT is also provided.
    Type: Application
    Filed: October 12, 2012
    Publication date: May 30, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
  • Publication number: 20130126884
    Abstract: A semiconductor structure includes a III-nitride substrate with a first side and a second side opposing the first side. The III-nitride substrate is characterized by a first conductivity type and a first dopant concentration. The semiconductor structure also includes a III-nitride epitaxial layer of the first conductivity type coupled to the first surface of the III-nitride substrate, and a first metallic structure electrically coupled to the second surface of the III-nitride substrate. The semiconductor structure further includes an AlGaN epitaxial layer coupled to the III-nitride epitaxial layer of the first conductivity type, and a III-nitride epitaxial structure of a second conductivity type coupled to the AlGaN epitaxial layer. The III-nitride epitaxial structure comprises at least one edge termination structure.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Linda Romano, Andrew P. Edwards, Richard J. Brown, David P. Bour, Hui Nie, Isik C. Kizilyalli, Thomas R. Prunty, Mahdan Raj
  • Publication number: 20130126886
    Abstract: A method of fabricating a Schottky diode using gallium nitride (GaN) materials includes providing an n-type GaN substrate having a first surface and a second surface. The second surface opposes the first surface. The method also includes forming an ohmic metal contact electrically coupled to the first surface of the n-type GaN substrate and forming an n-type GaN epitaxial layer coupled to the second surface of the n-type GaN substrate. The method further includes forming an n-type aluminum gallium nitride (AlGaN) surface layer coupled to the n-type GaN epitaxial layer and forming a Schottky contact electrically coupled to the n-type AlGaN surface layer.
    Type: Application
    Filed: November 18, 2011
    Publication date: May 23, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Richard J. Brown, Thomas R. Prunty, David P. Bour, Isik C. Kizilyalli, Hui Nie, Andrew P. Edwards, Linda Romano, Madhan Raj
  • Publication number: 20130126885
    Abstract: A method for fabricating an edge termination structure includes providing a substrate having a first surface and a second surface and a first conductivity type, forming a first GaN epitaxial layer of the first conductivity type coupled to the first surface of the substrate, and forming a second GaN epitaxial layer of a second conductivity type opposite to the first conductivity type. The second GaN epitaxial layer is coupled to the first GaN epitaxial layer. The method also includes implanting ions into a first region of the second GaN epitaxial layer to electrically isolate a second region of the second GaN epitaxial layer from a third region of the second GaN epitaxial layer. The method further includes forming an active device coupled to the second region of the second GaN epitaxial layer and forming the edge termination structure coupled to the third region of the second GaN epitaxial layer.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Donald R. Disney, Andrew P. Edwards, Hui Nie, Richard J. Brown, Isik C. Kizilyalli, David P. Bour, Linda Romano, Thomas R. Prunty
  • Publication number: 20130126888
    Abstract: An edge terminated semiconductor device is described including a GaN substrate; a doped GaN epitaxial layer grown on the GaN substrate including an ion-implanted insulation region, wherein the ion-implanted region has a resistivity that is at least 90% of maximum resistivity and a conductive layer, such as a Schottky metal layer, disposed over the GaN epitaxial layer, wherein the conductive layer overlaps a portion of the ion-implanted region. A Schottky diode is prepared using the Schottky contact structure.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 23, 2013
    Inventors: Isik C. Kizilyalli, Hui Nie, Andrew P. Edwards, Linda Romano, David Bour, Richard J. Brown, Thomas R. Prunty
  • Publication number: 20130119393
    Abstract: A vertical conduction nitride-based Schottky diode is formed using an insulating substrate which was lifted off after the diode device is encapsulated on the front side with a wafer level molding compound. The wafer level molding compound provides structural support on the front side of the diode device to allow the insulating substrate to be lifted off so that a conductive layer can be formed on the backside of the diode device as the cathode electrode. A vertical conduction nitride-based Schottky diode is thus realized. In another embodiment, a protection circuit for a vertical GaN Schottky diode employs a silicon-based vertical PN junction diode connected in parallel to the GaN Schottky diode to divert reverse bias avalanche current.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: ALPHA AND OMEGA SEMICONDUCTOR INC.
    Inventors: TingGang Zhu, Anup Bhalla, Ping Huang, Yueh-se Ho
  • Publication number: 20130119394
    Abstract: A termination structure for a nitride-based Schottky diode includes a guard ring formed by an epitaxially grown P-type nitride-based compound semiconductor layer and dielectric field plates formed on the guard ring. The termination structure is formed at the edge of the anode electrode of the Schottky diode and has the effect of reducing electric field crowding at the anode electrode edge, especially when the Schottky diode is reverse biased. In one embodiment, the P-type epitaxial layer includes a step recess to further enhance the field spreading effect of the termination structure.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: ALPHA AND OMEGA SEMICONDUCTOR INC.
    Inventors: TingGang Zhu, Anup Bhalla, Madhur Bodbe
  • Patent number: 8440017
    Abstract: To grow a gallium nitride crystal, a seed-crystal substrate is first immersed in a melt mixture containing gallium and sodium. Then, a gallium nitride crystal is grown on the seed-crystal substrate under heating the melt mixture in a pressurized atmosphere containing nitrogen gas and not containing oxygen. At this time, the gallium nitride crystal is grown on the seed-crystal substrate under a first stirring condition of stirring the melt mixture, the first stirring condition being set for providing a rough growth surface, and the gallium nitride crystal is subsequently grown on the seed-crystal substrate under a second stirring condition of stirring the melt mixture, the second stirring condition being set for providing a smooth growth surface.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: May 14, 2013
    Assignee: NGK Insulators, Ltd.
    Inventors: Takanao Shimodaira, Takayuki Hirao, Katsuhiro Imai
  • Publication number: 20130112993
    Abstract: A semiconductor device according to one embodiment of the present invention includes an insulating substrate, a wiring layer formed on a first main surface of the insulating substrate and having a conductive property, and a semiconductor element mounted on the wiring layer. In the semiconductor device, the insulating substrate is composed of cBN or diamond.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 9, 2013
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventor: Sumitomo Electric Industries, Ltd.
  • Publication number: 20130112985
    Abstract: An integrated device including a vertical III-nitride FET and a Schottky diode includes a drain comprising a first III-nitride material, a drift region comprising a second III-nitride material coupled to the drain and disposed adjacent to the drain along a vertical direction, and a channel region comprising a third III-nitride material coupled to the drift region. The integrated device also includes a gate region at least partially surrounding the channel region, a source coupled to the channel region, and a Schottky contact coupled to the drift region. The channel region is disposed between the drain and the source along the vertical direction such that current flow during operation of the vertical III-nitride FET and the Schottky diode is along the vertical direction.
    Type: Application
    Filed: November 4, 2011
    Publication date: May 9, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Isik C. Kizilyalli, Hui Nie, Andrew P. Edwards, Linda Romano, David P. Bour, Richard J. Brown, Thomas R. Prunty
  • Patent number: 8436363
    Abstract: Embodiments relate to semiconductor structures and methods of forming them. In some embodiments, the methods may be used to fabricate a semiconductor substrate by forming a weakened zone in a donor structure at a predetermined depth to define a transfer layer between an attachment surface and the weakened zone and a residual donor structure between the weakened zone and a surface opposite the attachment surface. A metallic layer is formed on the attachment surface and provides an ohmic contact between the metallic layer and the transfer layer, a matched Coefficient of Thermal Expansion (CTE) for the metallic layer that closely matches a CTE of the transfer layer, and sufficient stiffness to provide structural support to the transfer layer. The transfer layer is separated from the donor structure at the weakened zone to form a composite substrate comprising the transfer layer the metallic layer.
    Type: Grant
    Filed: February 3, 2011
    Date of Patent: May 7, 2013
    Assignee: Soitec
    Inventors: Christiaan J. Werkhoven, Chantal Arena
  • Publication number: 20130105813
    Abstract: Disclosed is a semiconductor device whose breakdown voltage is made high by controlling local concentration of an electric field. A source region faces a second plane, one of side faces of a groove part, and a part thereof extends in a direction in parallel to a nodal line of first and second planes. A drift region faces a third plane being the other side face of the groove part opposite to the second plane with a part thereof extending in a direction parallel to the nodal line of the first plane and the third plane, and is formed at a lower concentration than the source region. The drain region is provided so as to be placed on the other side of the drift region opposite to the groove part and so as to touch the drift region, and is formed at a higher concentration than the drift region.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 2, 2013
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Renesas Electronics Corporation
  • Publication number: 20130105808
    Abstract: A semiconductor structure includes a first III-V compound layer. A second III-V compound layer is disposed on the first III-V compound layer and is different from the first III-V compound layer in composition. A carrier channel is located between the first III-V compound layer and the second III-V compound layer. A source feature and a drain feature are disposed on the second III-V compound layer. A gate electrode is disposed over the second III-V compound layer between the source feature and the drain feature. A fluorine region is embedded in the second III-V compound layer under the gate electrode. A gate dielectric layer is disposed over the second III-V compound layer. The gate dielectric layer has a fluorine segment on the fluorine region and under at least a portion of the gate electrode.
    Type: Application
    Filed: November 16, 2011
    Publication date: May 2, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: King-Yuen WONG, Chen-Ju YU, Fu-Wei YAO, Chun-Wei HSU, Jiun-Lei Jerry YU, Chih-Wen HSIUNG, Fu-Chih YANG
  • Publication number: 20130105946
    Abstract: A semiconductor device may include a silicon (Si) substrate including a hole, a hard mask around the hole on the Si substrate, a first material layer filling the hole and on a portion of the hard mask, an upper material layer on the first material layer, and a device layer on the upper material layer. The first material layer may be a Group III-V material layer. The Group III-V material layer may be a Group III-V compound semiconductor layer. The upper material layer may be a portion of the first material layer. The upper material layer may include one of a same material as the first material layer and a different material from the first material layer.
    Type: Application
    Filed: August 23, 2012
    Publication date: May 2, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-moon LEE, Jai-kwang SHIN, Young-jin CHO
  • Publication number: 20130105810
    Abstract: A compound semiconductor device includes: a first compound semiconductor layer in which carriers are formed; a second compound semiconductor layer, provided above the first compound semiconductor layer, to supply the carriers; and a third compound semiconductor layer provided above the second compound semiconductor layer, wherein the third compound semiconductor layer includes a area that has a carrier concentration higher than a carrier concentration of the second compound semiconductor layer.
    Type: Application
    Filed: September 13, 2012
    Publication date: May 2, 2013
    Applicant: FUJITSU LIMITED
    Inventors: Masato Nishimori, Toshihiro Ohki, Toshihide Kikkawa
  • Publication number: 20130099357
    Abstract: A method of fabricating a rare earth oxide buffered III-N on silicon wafer including providing a crystalline silicon substrate, depositing a rare earth oxide structure on the silicon substrate including one or more layers of single crystal rare earth oxide, and depositing a layer of single crystal III-N material on the rare earth oxide structure so as to form an interface between the rare earth oxide structure and the layer of single crystal III-N material. The layer of single crystal III-N material produces a tensile stress at the interface and the rare earth oxide structure has a compressive stress at the interface dependent upon a thickness of the rare earth oxide structure. The rare earth oxide structure is grown with a thickness sufficient to provide a compressive stress offsetting at least a portion of the tensile stress at the interface to substantially reduce bowing in the wafer.
    Type: Application
    Filed: October 21, 2011
    Publication date: April 25, 2013
    Inventors: Rytis Dargis, Erdem Arkun, Radek Roucka, Andrew Clark, Michael Lebby
  • Publication number: 20130099243
    Abstract: A circuit structure includes a substrate, a nucleation layer of undoped aluminum nitride, a graded buffer layer comprising aluminum, gallium, nitrogen, one of silicon and oxygen, and a p-type conductivity dopant, a ungraded buffer layer comprising gallium, nitrogen, one of silicon and oxygen, and a p-type conductivity dopant without aluminum, and a bulk layer of undoped gallium nitride over the ungraded buffer layer. The various dopants in the graded buffer layer and the ungraded buffer layer increases resistivity and results in layers having an intrinsically balanced conductivity.
    Type: Application
    Filed: October 20, 2011
    Publication date: April 25, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Ming CHEN, Po-Chun LIU, Hung-Ta LIN, Chin-Cheng CHANG, Chung-Yi YU, Chia-Shiung TSAI, Ho-Yung David HWANG
  • Publication number: 20130099277
    Abstract: A method of selective dry etching of N-face (Al,In,Ga)N heterostructures through the incorporation of an etch-stop layer into the structure, and a controlled, highly selective, etch process. Specifically, the method includes: (1) the incorporation of an easily formed, compatible etch-stop layer in the growth of the device structure, (2) the use of a laser-lift off or similar process to decouple the active layer from the original growth substrate, and (3) the achievement of etch selectivity higher than 14:1 on N-face (Al,In,Ga)N.
    Type: Application
    Filed: October 25, 2012
    Publication date: April 25, 2013
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventor: The Regents of the University of California
  • Publication number: 20130099250
    Abstract: An improved structure of semiconductor chips with enhanced die strength and a fabrication method thereof are disclosed. The improved structure comprises a substrate, an active layer, and a backside metal layer, in which the active layer is formed on the front side of the substrate and includes at least one integrated circuit; the backside metal layer is formed on the backside of the substrate, which fully covers the area corresponding to the area covered by the integrated circuits in the active layer. By using the specific dicing process of the present invention, the backside metal layer and the substrate can be diced tidily. Die cracking on the border between the substrate and the backside metal layer of the diced single chip can be prevented, and thereby the die strength can be significantly enhanced.
    Type: Application
    Filed: January 24, 2012
    Publication date: April 25, 2013
    Inventor: Chang-Hwang HUA
  • Publication number: 20130092948
    Abstract: The semiconductor device having flip chip structure includes: an insulating substrate; a signal wiring electrode disposed on the insulating substrate; a power wiring electrode disposed on the insulating substrate or disposed so as to pass through the insulating substrate; a semiconductor chip disposed in flip chip configuration on the insulating substrate and comprising a semiconductor substrate, a source pad electrode and a gate pad electrode disposed on a surface of the semiconductor substrate, and a drain pad electrode disposed on a back side surface of the semiconductor substrate; agate connector disposed on the gate pad electrode; and a source connector disposed on the source pad electrode. The gate connector, the gate pad electrode and the signal wiring electrode are bonded, and the source connector, the source pad electrode and the power wiring electrode are bonded, by using solid phase diffusion bonding.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Applicant: ROHM CO., LTD.
    Inventor: Takukazu OTSUKA
  • Publication number: 20130093059
    Abstract: A bonded substrate, the surface roughness of which is reduced, and a method of manufacturing the same. The bonded substrate includes a base substrate and an intermediate layer disposed on the base substrate. The intermediate layer has a greater bubble diffusivity than the base substrate. A thin film layer is bonded onto the intermediate layer, and has a different chemical composition from the base substrate.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 18, 2013
    Applicant: SAMSUNG CORNING PRECISION MATERIALS CO., LTD.
    Inventor: Samsung Corning Precision Materials, Co., Ltd.
  • Publication number: 20130092950
    Abstract: A nitride semiconductor growth substrate includes a principal surface including a C-plane of a sapphire substrate, and a convex portion that is formed on the principal surface, has a cone or pyramid shape or a truncated cone or pyramid shape, is disposed to form a lattice pattern in a top view thereof, and includes a side surface inclined at an angle of less than 90 degrees relative to the principal surface. The convex portion has a height of 0.5 to 3 ?m from the principal surface. A distance between adjacent ones of the convex portion is 1 to 6 ?m. The side surface of the convex portion has a surface roughness (RMS) of not more than 10 nm.
    Type: Application
    Filed: September 13, 2012
    Publication date: April 18, 2013
    Applicant: Hitachi Cable, Ltd.
    Inventors: Hajime FUJIKURA, Michiko Matsuda, Taichiroo Konno
  • Publication number: 20130092949
    Abstract: A second epitaxial layer is grown epitaxially over a first epitaxial layer. The first epitaxial layer includes an epitaxially grown layer and a defect layer. The defect layer is disposed over the epitaxially grown layer and serves as a surface layer of the first epitaxial layer. The defect density of the defect layer is 5×1017 cm?2 or more. Defects penetrating through the defect layer form loops in the second epitaxial layer.
    Type: Application
    Filed: September 12, 2012
    Publication date: April 18, 2013
    Inventors: Nobuyuki Ikarashi, Masayasu Tanaka
  • Publication number: 20130087762
    Abstract: According to one embodiment, a nitride semiconductor wafer includes a silicon substrate, a lower strain relaxation layer provided on the silicon substrate, an intermediate layer provided on the lower strain relaxation layer, an upper strain relaxation layer provided on the intermediate layer, and a functional layer provided on the upper strain relaxation layer. The intermediate layer includes a first lower layer, a first doped layer provided on the first lower layer, and a first upper layer provided on the first doped layer. The first doped layer has a lattice constant larger than or equal to that of the first lower layer and contains an impurity of 1×1018 cm?3 or more and less than 1×1021 cm?3. The first upper layer has a lattice constant larger than or equal to that of the first doped layer and larger than that of the first lower layer.
    Type: Application
    Filed: February 27, 2012
    Publication date: April 11, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hung HUNG, Tomonari Shioda, Jongil Hwang, Naoharu Sugiyama, Shinya Nunoue
  • Publication number: 20130088468
    Abstract: A semiconductor device in which a decrease in the yield by electrostatic destruction can be prevented is provided. A scan line driver circuit for supplying a signal for selecting a plurality of pixels to a scan line includes a shift register for generating the signal. One conductive film functioning as respective gate electrodes of a plurality of transistors in the shift register is divided into a plurality of conductive films. The divided conductive films are electrically connected to each other by a conductive film which is formed in a layer different from the divided conductive films are formed. The plurality of transistors includes a transistor on an output side of the shift register.
    Type: Application
    Filed: October 1, 2012
    Publication date: April 11, 2013
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: SEMICONDUCTOR ENERGY LABORATORY CO.
  • Publication number: 20130087805
    Abstract: According to one embodiment, a semiconductor light emitting device includes an n-type semiconductor layer, a p-type semiconductor layer and a light emitting layer. The emitting layer is provided between the n-type layer and the p-type layer, and includes a plurality of barrier layers and a plurality of well layers, being alternately stacked. The p-side barrier layer being closest to the p-type layer among the plurality of barrier layer includes a first layer and a second layer, containing group III elements. An In composition ratio in the group III elements of the second layer is higher than an In composition ratio in the group III elements of the first layer. An average In composition ratio of the p-side layer is higher than an average In composition ratio of an n-side barrier layer that is closest to the n-type layer among the plurality of barrier layers.
    Type: Application
    Filed: February 24, 2012
    Publication date: April 11, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Shigeya KIMURA, Koichi TACHIBANA, Shinya NUNOUE
  • Publication number: 20130081940
    Abstract: The composition of matter comprising Ga(Sbx)N1?x where x=0.01 to 0.06 is characterized by a band gap between 2.4 and 1.7 eV. A semiconductor device includes a semiconductor layer of that composition. A photoelectric cell includes that semiconductor device.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 4, 2013
    Applicants: The University Of Louisville Research Foundation, Inc., The University of Kentucky Research Foundation
    Inventors: The University of Kentucky Research Foundation, The University Of Louisville Research Foundation
  • Publication number: 20130082254
    Abstract: A highly reliable structure is provided when high-speed driving of a semiconductor device is achieved by improving on-state characteristics of the transistor. The on-state characteristics of the transistor are improved as follows: an end portion of a source electrode and an end portion of a drain electrode overlap with end portions of a gate electrode, and the gate electrode surely overlaps with a region serving as a channel formation region of an oxide semiconductor layer. Further, embedded conductive layers are formed in an insulating layer so that large contact areas are obtained between the embedded conductive layers and the source and drain electrodes; thus, the contact resistance of the transistor can be reduced. Prevention of coverage failure with a gate insulating layer enables the oxide semiconductor layer to be thin; thus, the transistor is miniaturized.
    Type: Application
    Filed: September 25, 2012
    Publication date: April 4, 2013
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Semiconductor Energy Laboratory Co., Ltd.
  • Publication number: 20130082281
    Abstract: A semiconductor structure having compound semiconductor (CS) device formed in a compound semiconductor of the structure and an elemental semiconductor device formed in an elemental semiconductor layer of the structure. The structure includes a layer having an elemental semiconductor device is disposed over a buried oxide (BOX) layer. A selective etch layer is disposed between the BOX layer and a layer for a compound semiconductor device. The selective etch layer enables selective etching of the BOX layer to thereby maximize vertical and lateral window etch process control for the compound semiconductor device grown in etched window. The selective etch layer has a lower etch rate than the etch rate of the BOX layer.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: Raytheon Company
    Inventors: Jeffrey R. LaRoche, Thomas E. Kazior, William E. Hoke
  • Publication number: 20130082277
    Abstract: The present invention relates to a nitride semiconductor device and a manufacturing method thereof. According to one aspect of the present invention, a nitride semiconductor device including: a nitride semiconductor layer having a 2DEG channel; a source electrode in ohmic contact with the nitride semiconductor layer; a drain electrode in ohmic contact with the nitride semiconductor layer; a plurality of p-type nitride semiconductor segments formed on the nitride semiconductor layer and each formed lengthways from a first sidewall thereof, which is spaced apart from the source electrode, to a drain side; and a gate electrode formed to be close to the source electrode and in contact with the nitride semiconductor layer between the plurality of p-type semiconductor segments and portions of the p-type semiconductor segments extending in the direction of a source-side sidewall of the gate electrode aligned with the first sidewalls of the p-type nitride semiconductor segments is provided.
    Type: Application
    Filed: April 9, 2012
    Publication date: April 4, 2013
    Inventors: Young Hwan PARK, Woo Chul Jeon, Ki Yeol Park, Seok Yoon Hong
  • Publication number: 20130082356
    Abstract: In one embodiment, a semiconductor structure is provided which includes a base substrate, and a multilayered stack located on the base substrate. The multilayered stack includes, from bottom to top, a first sacrificial material layer having a first thickness, a first semiconductor device layer, a second sacrificial material layer having a second thickness, and a second semiconductor device layer, wherein the first thickness is less than the second thickness.
    Type: Application
    Filed: September 5, 2012
    Publication date: April 4, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cheng-Wei Cheng, Ning Li, Kuen-Ting Shiu