Vertical Transistor (epo) Patents (Class 257/E29.262)
  • Publication number: 20120273791
    Abstract: A polycrystalline semiconductor layer is formed on a cell active region and a peripheral active region of a substrate. A buried gate electrode is formed in the substrate in the cell active region at a level below the polycrystalline semiconductor layer after forming the polycrystalline semiconductor layer. A gate electrode is formed on the substrate in the peripheral active region from the polysilicon semiconductor layer after forming the buried gate electrode.
    Type: Application
    Filed: July 11, 2012
    Publication date: November 1, 2012
    Inventors: Bongsoo Kim, Chul Lee, Deoksung Hwang, Sang-Bin Ahn
  • Publication number: 20120273884
    Abstract: A power device includes a semiconductor region which in turn includes a plurality of alternately arranged pillars of first and second conductivity type. Each of the plurality of pillars of second conductivity type further includes a plurality of implant regions of the second conductivity type arranged on top of one another along the depth of pillars of second conductivity type, and a trench portion filled with semiconductor material of the second conductivity type directly above the plurality of implant regions of second conductivity type.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Inventors: Joseph A. Yedinak, Christopher L. Rexer, Mark L. Rinehimer, Praveen Muraleedharan Shenoy, Jaegil Lee, Hamza Yilmaz, Chongman Yun, Dwayne S. Reichl, James Pan, Rodney S. Ridley, SR., Harold Heidenreich
  • Publication number: 20120273875
    Abstract: A power device includes a semiconductor region which in turn includes a plurality of alternately arranged pillars of first and second conductivity type. Each of the plurality of pillars of second conductivity type further includes a plurality of implant regions of the second conductivity type arranged on top of one another along the depth of pillars of second conductivity type, and a trench portion filled with semiconductor material of the second conductivity type directly above the plurality of implant regions of second conductivity type.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Inventors: Joseph A. Yedinak, Mark L. Rinehimer, Praveen Muraleedharan Shenoy, Hamza Yilmaz, James Pan, Rodney S. Ridley
  • Publication number: 20120273872
    Abstract: A three dimensional semiconductor memory device includes an electrode structure having a plurality of conductive electrode patterns and insulating patterns alternatingly stacked on a substrate. Opposite sidewalls of the electrode structure include respective grooves therein extending in a direction substantially perpendicular to the substrate. First and second active patterns protrude from the substrate and extend within the grooves in the opposite sidewalls of the electrode structure, respectively. Respective data storing layers extend in the grooves between the conductive electrode patterns of the electrode structure and sidewalls of the first and second active patterns adjacent thereto. Related fabrication methods are also discussed.
    Type: Application
    Filed: April 26, 2012
    Publication date: November 1, 2012
    Inventors: Jin-Soo Lim, Vladimir Urazaev, Jin Ha Jeong, Hansoo Kim, Heayun Lee
  • Publication number: 20120273871
    Abstract: A power device includes a semiconductor region which in turn includes a plurality of alternately arranged pillars of first and second conductivity type. Each of the plurality of pillars of second conductivity type further includes a plurality of implant regions of the second conductivity type arranged on top of one another along the depth of pillars of second conductivity type, and a trench portion filled with semiconductor material of the second conductivity type directly above the plurality of implant regions of second conductivity type.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Inventors: Joseph A. Yedinak, Christopher L. Rexer, Jaegil Lee, Hamza Yilmaz, Chongman Yun
  • Patent number: 8299524
    Abstract: A semiconductor device including a drain region of a first conductivity type formed on a semiconductor substrate; an element forming region that is provided on the drain region and that has a concave portion reaching the drain region; a gate electrode disposed in the concave portion; a superjunction structure portion that is disposed in the element forming region and that is formed by alternately arranging a drift layer of the first conductivity type penetrated by the concave portion and a resurf layer of a second conductivity type being in contact with the drift layer on the semiconductor substrate; and a base region of the second conductivity type that is disposed on the superjunction structure portion so as to be in contact with the drift layer in the element forming region, that is penetrated by the concave portion, and that faces the gate electrode with the gate insulating film therebetween.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: October 30, 2012
    Assignee: Rohm Co., Ltd.
    Inventor: Masaru Takaishi
  • Patent number: 8299516
    Abstract: A vertical thin film transistor and a method for manufacturing the same and a display device including the vertical thin film transistor and a method for manufacturing the same are disclosed. The vertical thin film transistor is applied to a substrate. In the present invention, a gate layer of the vertical thin film transistor is formed to have a plurality of concentric annular structures and the adjacent concentric annular structures are linked. By the concentric annular structures of the gate electrode layer, resistance to stress and an on-state current of the vertical thin film transistor can be increased.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: October 30, 2012
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Shou-cheng Weng, Huai-an Li, Chi-neng Mo
  • Patent number: 8299494
    Abstract: A semiconductor device includes a first semiconductor layer and a second semiconductor layer of opposite conductivity type, a first epitaxial layer of the first conductivity type formed on sidewalls of the trenches, and a second epitaxial layer of the second conductivity type formed on the first epitaxial layer where the second epitaxial layer is electrically connected to the second semiconductor layer. The first epitaxial layer and the second epitaxial layer form parallel doped regions along the sidewalls of the trenches, each having uniform doping concentration. The second epitaxial layer has a first thickness and a first doping concentration and the first epitaxial layer and a mesa of the first semiconductor layer together having a second thickness and a second average doping concentration where the first and second thicknesses and the first doping concentration and second average doping concentrations are selected to achieve charge balance in operation.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: October 30, 2012
    Assignee: Alpha & Omega Semiconductor, Inc.
    Inventors: Hamza Yilmaz, Xiaobin Wang, Anup Bhalla, John Chen, Hong Chang
  • Patent number: 8299525
    Abstract: In a power IC device, a surface layer channel CMOS transistor and a trench power MOS transistor are formed on the same chip. In one embodiment, a source region of the trench power MOS transistor is arranged at the same level as a gate electrode of the surface layer channel CMOS transistor. Thus, the power IC device and a method for manufacturing the power IC device are provided for reducing manufacturing cost in the case of forming the trench power MOS transistor and the surface layer channel CMOS transistor on the same chip.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: October 30, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Alberto O. Adan, Mitsuhiro Kikuta
  • Publication number: 20120267696
    Abstract: Stable electric characteristics and high reliability are provided to a miniaturized and integrated semiconductor device including an oxide semiconductor. In a transistor (a semiconductor device) including an oxide semiconductor film, the oxide semiconductor film is provided along a trench (groove) formed in an insulating layer. The trench includes a lower end corner portion having a curved shape with a curvature radius of longer than or equal to 20 nm and shorter than or equal to 60 nm, and the oxide semiconductor film is provided in contact with a bottom surface, the lower end corner portion, and an inner wall surface of the trench. The oxide semiconductor film includes a crystal having a c-axis substantially perpendicular to a surface at least over the lower end corner portion.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 25, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Atsuo ISOBE, Toshinari SASAKI
  • Publication number: 20120267706
    Abstract: The invention discloses a novel MOSFET device and its implementation method, the device comprising: a substrate; a gate stack structure, on either side of which is eliminated a conventional isolation spacer; source/drain regions located in the substrate on opposite sides of the gate stack structure; epitaxially grown metal silicide located on the source/drain regions; characterized in that, the epitaxially grown metal silicide is in direct contact with a channel region controlled by the gate stack structure, thereby eliminating the high resistance region below the conventional isolation spacer. At the same time, the epitaxially grown metal silicide can withstand a second high-temperature annealing used for improving the performance of a high-k gate dielectric material, which further improves the performance of the device.
    Type: Application
    Filed: April 22, 2011
    Publication date: October 25, 2012
    Inventors: Jun Luo, Chao Zhao
  • Publication number: 20120267713
    Abstract: A power semiconductor structure with schottky diode is provided. In the step of forming the gate structure, a separated first polysilicon structure is also formed on the silicon substrate. Then, the silicon substrate is implanted with dopants by using the first polysilicon structure as a mask to form a body and a source region. Afterward, a dielectric layer is deposited on the silicon substrate and an open penetrating the dielectric layer and the first polysilicon structure is formed so as to expose the source region and the drain region below the body. The depth of the open is smaller than the greatest depth of the body. Then, a metal layer is filled into the open to electrically connect to the source region and the drain region.
    Type: Application
    Filed: July 5, 2012
    Publication date: October 25, 2012
    Applicant: GREAT POWER SEMICONDUCTOR CORP.
    Inventors: HSIU WEN HSU, CHUN YING YEH
  • Publication number: 20120267711
    Abstract: This document discusses, among other things, a semiconductor device including first and second conductive layers, the first conductive layer including a gate runner and a drain contact and the second conductive layer including a drain conductor, at least a portion of the drain conductor overlying at least a portion of the gate runner. A first surface of the semiconductor device can include a gate pad coupled to the gate runner and a drain pad coupled to the drain contact and the drain conductor.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 25, 2012
    Inventors: Thomas E. Grebs, Jayson S. Preece
  • Publication number: 20120267709
    Abstract: To provide a highly reliable semiconductor device. To provide a semiconductor device which prevents a defect and achieves miniaturization. An oxide semiconductor layer in which the thickness of a region serving as a source region or a drain region is larger than the thickness of a region serving as a channel formation region is formed in contact with an insulating layer including a trench. In a transistor including the oxide semiconductor layer, variation in threshold voltage, degradation of electric characteristics, and shift to normally on can be suppressed and source resistance or drain resistance can be reduced, so that the transistor can have high reliability.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 25, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Atsuo ISOBE, Toshinari SASAKI
  • Publication number: 20120269019
    Abstract: A vertical semiconductor device is provided. The semiconductor device includes a cell array including a control bit line connected to cells and electrically isolated from a bit line, and a floating body control circuit for applying a floating control voltage to the control bit line in a predetermined period.
    Type: Application
    Filed: January 10, 2012
    Publication date: October 25, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Young CHUNG
  • Publication number: 20120267707
    Abstract: Disclosed herein is a semiconductor device including: a first conductivity type semiconductor base body; a first conductivity type pillar region; second conductivity type pillar regions; element and termination regions provided in the first and second conductivity type pillar regions, transistors being formed in the element region, and no transistors being formed in the termination region; body regions; a gate insulating film; gate electrodes; source regions; and body potential extraction regions, wherein voids are formed in the second conductivity type pillar regions of the termination region.
    Type: Application
    Filed: June 29, 2012
    Publication date: October 25, 2012
    Applicant: SONY CORPORATION
    Inventor: Yuji SASAKI
  • Publication number: 20120267708
    Abstract: A termination structure for a power MOSFET device includes a substrate, an epitaxial layer on the substrate, a trench in the epitaxial layer, a first insulating layer within the trench, a first conductive layer atop the first insulating layer, and a column doping region in the epitaxial layer and in direct contact with the first conductive layer. The first conductive layer is in direct contact with the first insulating layer and is substantially level with a top surface of the epitaxial layer. The first conductive layer comprises polysilicon, titanium, titanium nitride or aluminum.
    Type: Application
    Filed: September 16, 2011
    Publication date: October 25, 2012
    Inventors: Yung-Fa Lin, Shou-Yi Hsu, Meng-Wei Wu, Main-Gwo Chen, Jing-Qing Chan, Yi-Chun Shih
  • Patent number: 8294207
    Abstract: In a method of fabricating a semiconductor device on a substrate which includes a plurality of pillar patterns, an impurity region between adjacent pillar patterns, a gate electrode on each pillar pattern, a first capping layer covering the gate electrode, and a separation layer covering the first capping layer between the gate electrodes of adjacent pillar patterns, the first capping layer is removed except for a portion contacting the separation layer, a sacrificial layer is formed to cover the gate electrode, a second capping layer is formed on sidewalls of each pillar pattern, the sacrificial layer is removed and a word line connecting the gate electrodes of the adjacent pillar patterns is formed. In the manufactured device, the first capping layer isolates the impurity region from the word line and the second capping region prevents the sidewalls of the respective pillar pattern from being exposed.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: October 23, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Hoon Cho, Yun-Seok Cho, Myung-Ok Kim, Sang-Hoon Park, Young-Kyun Jung
  • Patent number: 8294205
    Abstract: A semiconductor device includes a first semiconductor pillar, a first insulating film covering a side face of the first semiconductor pillar, a first electrode covering the first insulating film, a second semiconductor pillar, a second insulating film covering a side face of the second semiconductor pillar, and a second electrode covering the second insulating film. The top level of the second electrode is higher than the top level of the first electrode.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: October 23, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Hiroyuki Fujimoto
  • Publication number: 20120261747
    Abstract: A semiconductor device includes a word line and a bit line on a substrate and the word line intersects the bit line, an insulating layer on the substrate and the insulating layer includes voids therein, and a passivation layer on the insulating layer and the passivation layer includes hydrogen atoms therein. The voids define diffusion pathways through which the hydrogen atoms in the passivation layer diffuse in a direction toward the substrate.
    Type: Application
    Filed: April 18, 2012
    Publication date: October 18, 2012
    Inventors: Joosung PARK, Jong Un Kim
  • Publication number: 20120261744
    Abstract: The present invention refers to a semiconductor device especially a tunneling filed effect transistor (TFET) using narrow bandgap material as the source electrode material. A Semiconductor device which is a tunneling field effect transistor type semiconductor device, in which the source material is characterized as narrow band-gap material; meanwhile, there is a u-groove channel. The narrow band-gap material results in a raise of driving current and the u-groove channel reduced drain leakage current. The TFET disclosed in to present invention has the advantages of low leakage current, high drive current, and high integration density. The static power consumption is also reduced by using the present invention. The integration density is improved as well.
    Type: Application
    Filed: December 24, 2010
    Publication date: October 18, 2012
    Applicant: Fudan University
    Inventors: Pengfei Wang, Qingqing Sun, Shijin Ding, Wei Zhang
  • Publication number: 20120261746
    Abstract: Methods and resulting device structures for power trench transistor fabrication, wherein a reachup pillar from the field plate trench is left in place to define the location of a self-aligned contact to the field plate.
    Type: Application
    Filed: March 13, 2012
    Publication date: October 18, 2012
    Applicant: MAXPOWER SEMICONDUCTOR, INC.
    Inventors: Mohamed N. Darwish, Zeng Jun, Richard A. Blanchard
  • Publication number: 20120261677
    Abstract: Silicon carbide semiconductor device includes trench, in which connecting trench section is connected to straight trench section. Straight trench section includes first straight trench and second straight trench extending in parallel to each other. Connecting trench section includes first connecting trench perpendicular to straight trench section, second connecting trench that connects first straight trench and first connecting trench to each other, and third connecting trench that connects second straight trench and first connecting trench to each other. Second connecting trench extends at 30 degrees of angle with the extension of first straight trench. Third connecting trench extends at 30 degrees of angle with the extension of second straight trench. A manufacturing method according to the invention for manufacturing a silicon carbide semiconductor device facilitates preventing defects from being causes in a silicon carbide semiconductor device during the manufacture thereof.
    Type: Application
    Filed: June 25, 2012
    Publication date: October 18, 2012
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventors: Yasuyuki KAWADA, Takeshi TAWARA
  • Publication number: 20120261748
    Abstract: A semiconductor device includes a substrate with a recess pattern, a gate electrode filling the recess pattern, a threshold voltage adjusting layer formed in the substrate under the recess pattern, a source/drain region formed in the substrate on both sides of the gate electrode and a gate insulation layer, with the recess pattern being disposed between the gate electrode and the substrate, wherein the thickness of the gate insulation layer formed in a region adjacent to the source/drain region is greater than the thickness of the gate insulation layer formed in a region adjacent to the threshold voltage adjusting layer.
    Type: Application
    Filed: June 27, 2012
    Publication date: October 18, 2012
    Inventors: Seung-Mi LEE, Yun-Hyuck Ji, Tae-Kyun Kim, Jin-Yul Lee
  • Publication number: 20120261714
    Abstract: In a manufacturing method of a semiconductor device, a trench is defined in a semiconductor substrate, and an adjuster layer having a first conductivity type impurity concentration higher than a drift layer is formed at a portion of the semiconductor substrate adjacent to a bottom wall of the trench. A channel layer is formed by introducing second conductivity type impurities to a portion of the semiconductor substrate adjacent to a sidewall of the trench and between the adjustment layer and a main surface of the semiconductor substrate while restricting the channel layer from extending in a depth direction of the trench by the adjustment layer.
    Type: Application
    Filed: April 10, 2012
    Publication date: October 18, 2012
    Applicant: DENSO CORPORATION
    Inventors: Eiichi TAKETANI, Seigo Oosawa
  • Publication number: 20120261739
    Abstract: A semiconductor device including a first doped region of a first conductivity type, a second doped region of a second conductivity type, a gate, and a dielectric layer is provided. The first doped region is located in a substrate and has a trench. The second doped region is located at the bottom of the trench to separate the first doped region into a source doped region and a drain doped region. A channel region is located between the source doped region and the drain doped region. The gate is located in the trench. The dielectric layer covers the sidewall and the bottom of the trench and separates the gate and the substrate.
    Type: Application
    Filed: April 15, 2011
    Publication date: October 18, 2012
    Applicant: MACRONIX International Co., Ltd.
    Inventors: I-CHEN YANG, Yao-Wen Chang, Tao-Cheng Lu
  • Patent number: 8288220
    Abstract: A method of forming a semiconductor device may include forming a terminal region of a first conductivity type within a semiconductor layer of the first conductivity type. A well region of a second conductivity type may be formed within the semiconductor layer wherein the well region is adjacent at least portions of the terminal region within the semiconductor layer, a depth of the well region into the semiconductor layer may be greater than a depth of the terminal region into the semiconductor layer, and the first and second conductivity types may be different. An epitaxial semiconductor layer may be formed on the semiconductor layer, and a terminal contact region of the first conductivity type may be formed in the epitaxial semiconductor layer with the terminal contact region providing electrical contact with the terminal region. In addition, an ohmic contact may be formed on the terminal contact region. Related structures are also discussed.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: October 16, 2012
    Assignee: Cree, Inc.
    Inventors: Brett Adam Hull, Qingchun Zhang
  • Patent number: 8288803
    Abstract: An indirectly induced tunnel emitter for a tunneling field effect transistor (TFET) structure includes an outer sheath that at least partially surrounds an elongated core element, the elongated core element formed from a first semiconductor material; an insulator layer disposed between the outer sheath and the core element; the outer sheath disposed at a location corresponding to a source region of the TFET structure; and a source contact that shorts the outer sheath to the core element; wherein the outer sheath is configured to introduce a carrier concentration in the source region of the core element sufficient for tunneling into a channel region of the TFET structure during an on state.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: October 16, 2012
    Assignee: International Business Machines Corporation
    Inventors: Mikael T. Bjoerk, Siegfried F. Karg, Joachim Knoch, Heike E. Riel, Walter H. Riess, Paul M. Solomon
  • Publication number: 20120256259
    Abstract: The present invention provides a single-sided access device including an active fin structure comprising a source region and a drain region; an insulating layer interposed between the source region and the drain region; a trench isolation structure disposed at one side of the active fin structure; a single-sided sidewall gate electrode disposed on the other side of the active fin structure opposite to the trench isolation structure so that the active fin structure is sandwiched by trench isolation structure and the single-sided sidewall gate electrode; and a gate protrusion laterally and electrically extended from the single-sided sidewall gate electrode and embedded between the source region and the drain region under the insulating layer.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 11, 2012
    Inventors: Shyam Surthi, Sheng-Wei Yang
  • Publication number: 20120256258
    Abstract: A fabrication method of a high cell density trench power MOSFET structure is provided. Form at least a gate trench in a silicon substrate and a gate dielectric layer on the silicon substrate. Form a gate polysilicon structure in the gate trench and cover by a passivation layer. Form a first-conductive-type body region in the silicon substrate and implant impurities with a second conductive type thereof to form a source doped region. Expose the gate polysilicon structure and the source doped region. Form a dielectric spacer having a predetermined thickness on a sidewall of the gate trench. Deposit metal on the gate polysilicon structure and the source doped region. A first and a second self-aligned silicide layer are respectively formed on the gate polysilicon structure and the source doped region. The dielectric spacer forms an appropriate distance between the first and the second self-aligned silicide layer.
    Type: Application
    Filed: March 20, 2012
    Publication date: October 11, 2012
    Applicant: GREAT POWER SEMICONDUCTOR CORP.
    Inventor: HSIU-WEN HSU
  • Publication number: 20120256253
    Abstract: Vertical memory devices include a channel, a ground selection line (GSL), a word line, a string selection line (SSL), a pad and an etch-stop layer. The channel extends in a first direction on a substrate. The channel includes an impurity region and the first direction is perpendicular to a top surface of the substrate. At least one GSL, a plurality of the word lines and at least one SSL are spaced apart from each other in the first direction on a sidewall of the channel. The pad is disposed on a top surface of the channel. The etch-stop layer contacts the pad.
    Type: Application
    Filed: March 28, 2012
    Publication date: October 11, 2012
    Inventors: Sung-Min Hwang, Woon-Kyung Lee, Young-Jin Kwon, Tae-Hee Lee, Hui-Chang Moon
  • Publication number: 20120256255
    Abstract: A recessed trench gate structure is provided. The recessed trench gate structure includes a substrate with a recessed trench, a gate dielectric layer disposed around an inner surface of the recessed trench, a lower gate conductor disposed at a lower portion of the recessed trench and on the gate dielectric layer. Specially, the lower gate conductor has a convex top surface. A spacer is disposed along an inner side wall of a upper portion of the recessed trench and a upper gate conductor is disposed on the lower gate conductor. The convex top surface can prevent the electric field from distributing not uniformly, so that the GIDL can be prevented.
    Type: Application
    Filed: April 7, 2011
    Publication date: October 11, 2012
    Inventors: Tieh-Chiang Wu, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20120256254
    Abstract: This invention discloses a specific superjunction MOSFET structure and its fabrication process. Such structure includes: a drain, a substrate, an EPI, a source, a side-wall isolation structure, a gate, a gate isolation layer and source. There is an isolation layer inside the active area underneath the source. Along the side-wall of this isolation layer, a buffer layer with same doping type as body can be introduced & source can be extended down too to form field plate. Such buffer layer & field plate can make the EPI doping much higher than convention device which results in lower Rdson, better performance, shorter gate so that to reduce both gate charge Qg and gate-to-drain charge Qgd. The process to make such structure is simpler and more cost effective.
    Type: Application
    Filed: April 6, 2012
    Publication date: October 11, 2012
    Inventors: Qin HUANG, Yuming BAI, Yang GAO
  • Patent number: 8283715
    Abstract: An integrated circuit with a memory cell is disclosed. The integrated circuit with a memory cell includes: a word line disposed in a word line trench of a substrate; a bit line disposed below the word line in a bit line trench and extending orthogonal to the word line; and, a separating layer disposed above the bit line in the bit line trench that separates the word line from the bit line; wherein an etching rate of the separating layer approaches that of the substrate.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: October 9, 2012
    Assignee: Rexchip Electronics Corporation
    Inventors: Yung-Chang Lin, Sheng-Chang Liang
  • Patent number: 8283229
    Abstract: Methods of fabricating vertical channel transistors may include forming an active region on a substrate, patterning the active region to form vertical channels at sides of the active region, forming a buried bit line in the active region between the vertical channels, and forming a word line facing a side of the vertical channel.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: October 9, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kang-Uk Kim, Hyun-Woo Chung, Yongchul Oh, Hui-Jung Kim, Hyun-Gi Kim
  • Publication number: 20120248530
    Abstract: An integrated structure combines field effect transistors and a Schottky diode. Trenches formed into a substrate composition extend along a depth of the substrate composition forming mesas therebetween. Each trench is filled with conductive material separated from the trench walls by dielectric material forming a gate region. Two first conductivity type body regions inside each mesa form wells partly into the depth of the substrate composition. An exposed portion of the substrate composition separates the body regions. Second conductivity type source regions inside each body region are adjacent to and on opposite sides of each well. Schottky barrier metal inside each well forms Schottky junctions at interfaces with exposed vertical sidewalls of the exposed portion of the substrate composition separating the body regions.
    Type: Application
    Filed: April 4, 2011
    Publication date: October 4, 2012
    Inventors: Sik Lui, Yi Su, Daniel Ng, Anup Bhalla
  • Publication number: 20120248526
    Abstract: Systems and methods of fabricating Wafer Level Chip Scale Packaging (WLCSP) devices with transistors having source, drain and gate contacts on one side of the transistor while still having excellent electrical performance with low drain-to-source resistance RDS(on) include using a two-metal drain contact technique. The RDS(on) is further improved by using a through-silicon-via (TSV) technique to form a drain contact or by using a copper layer closely connected to the drain drift.
    Type: Application
    Filed: March 29, 2011
    Publication date: October 4, 2012
    Inventors: Daniel M. Kinzer, Steven Sapp, Chung-Lin Wu, Oseob Jeon, Bigidis Dosdos
  • Publication number: 20120248527
    Abstract: According to one embodiment, a semiconductor memory device includes a semiconductor substrate having a gate groove and first to third grooves, the first to third grooves being formed on a bottom surface of the gate groove and the third groove being formed between the first and second grooves, and a gate electrode having a first gate portion formed in the first groove, a second gate portion formed in the second groove, a third gate portion formed in the third groove, and a fourth gate portion formed in the gate groove. A cell transistor having the gate electrode has a first channel region formed in the semiconductor substrate between the first and third gate portions and a second channel region formed in the semiconductor substrate between the second and third gate portions.
    Type: Application
    Filed: September 19, 2011
    Publication date: October 4, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takeshi KAJIYAMA
  • Publication number: 20120248525
    Abstract: Three dimensional semiconductor memory devices and methods of fabricating the same are provided. According to the method, sacrificial layers and insulating layers are alternately and repeatedly stacked on a substrate, and a cutting region penetrating an uppermost sacrificial layer of the sacrificial layers is formed. The cutting region is filled with a non sacrificial layer. The insulating layers and the sacrificial layers are patterned to form a mold pattern. The mold pattern includes insulating patterns, sacrificial patterns, and the non sacrificial layer in the cutting region. The sacrificial patterns may be replaced with electrodes. The related semiconductor memory device is also provided.
    Type: Application
    Filed: February 21, 2012
    Publication date: October 4, 2012
    Inventors: Sunghae LEE, Daehong Eom, JinGyun Kim, Daehyun Jang, Kihyun Hwang, Seongsoo Lee, Kyunghyun Kim, Chadong Yeo, Jun-Youl Yang, Se-Ho Cha
  • Publication number: 20120248518
    Abstract: An isolation structure is described, including a doped semiconductor layer disposed in a trench in a semiconductor substrate and having the same conductivity type as the substrate, gate dielectric between the doped semiconductor layer and the substrate, and a diffusion region in the substrate formed by dopant diffusion through the gate dielectric from the doped semiconductor layer. A device structure is also described, including the isolation structure and a vertical transistor in the substrate beside the isolation structure. The vertical transistor includes a first S/D region beside the diffusion region and a second S/D region over the first S/D region both having a conductivity type different from that of the doped semiconductor layer.
    Type: Application
    Filed: March 28, 2011
    Publication date: October 4, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chung-Ren Li, Shing-Hwa Renn, Yu-Teh Chiang
  • Publication number: 20120248529
    Abstract: Methods of forming a memory device having an array portion including a plurality of array transistors and a periphery region including peripheral circuit transistor structures of the memory device, where an upper surface of the periphery region and an upper surface of the array portion are planar (or nearly planar) after formation of the peripheral circuit transistor structures and a plurality of memory cells (formed over the array transistors). The method includes forming the peripheral circuit transistor structures in the periphery region, forming the plurality of array transistors in the array portion and forming a plurality of memory cells over respective vertical transistors. Structures formed by the method have planar upper surfaces of the periphery and array regions.
    Type: Application
    Filed: April 1, 2011
    Publication date: October 4, 2012
    Inventors: Jun Liu, Sanh D. Tang, David H. Wells
  • Patent number: 8278702
    Abstract: A semiconductor structure comprises trenches extending into a semiconductor region. Portions of the semiconductor region extend between adjacent trenches forming mesa regions. A gate electrode is in each trench. Well regions of a first conductivity type extend in the semiconductor region between adjacent trenches. Source regions of a second conductivity type are in the well regions. Heavy body regions of the first conductivity type are in the well regions. The source regions and the heavy body regions are adjacent trench sidewalls, and the heavy body regions extend over the source regions along the trench sidewalls to a top surface of the mesa regions.
    Type: Grant
    Filed: September 16, 2008
    Date of Patent: October 2, 2012
    Assignee: Fairchild Semiconductor Corporation
    Inventors: James Pan, Scott L. Hunt, Dean E. Probst, Hossein Paravi
  • Patent number: 8278704
    Abstract: A FET is formed as follows. A trench is formed in a silicon region. A shield electrode is formed in a bottom portion of the trench. The shield electrode is insulated from adjacent silicon region by a shield dielectric. A silicon nitride layer is formed over a surface of the silicon region adjacent the trench, along the trench sidewalls, and over the shield electrode and shield dielectric. A layer of LTO is formed over the silicon nitride layer such that those portions of the LTO layer extending over the surface of the silicon region adjacent the trench are thicker than the portion of the LTO layer extending over the shield electrode. The LTO layer is uniformly etched back such that a portion of the silicon nitride layer becomes exposed while portions of the silicon nitride layer remain covered.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: October 2, 2012
    Assignee: Fairchild Semiconductor Corporation
    Inventor: John Tracey Andrews
  • Patent number: 8278708
    Abstract: In an insulated-gate type semiconductor device in which a gate-purpose conductive layer is embedded into a trench which is formed in a semiconductor substrate, and a source-purpose conductive layer is provided on a major surface of the semiconductor substrate, a portion of a gate pillar which is constituted by both the gate-purpose conductive layer and a cap insulating film for capping an upper surface of the gate-purpose conductive layer is projected from the major surface of the semiconductor substrate; a side wall spacer is provided on a side wall of the projected portion of the gate pillar; and the source-purpose conductive layer is connected to a contact region of the major surface of the semiconductor substrate, which is defined by the side wall spacer.
    Type: Grant
    Filed: February 15, 2012
    Date of Patent: October 2, 2012
    Assignees: Renesas Electronics Corporation, Hitachi Tobu Semiconductor Ltd.
    Inventors: Hiroshi Inagawa, Nobuo Machida, Kentaro Oishi
  • Publication number: 20120241853
    Abstract: A semiconductor layer has a second impurity concentration. First trenches are formed in the semiconductor layer to extend downward from an upper surface of the semiconductor layer. Each of insulation layers is formed along each of the inner walls of the first trenches. Each of conductive layers is formed to bury each of the first trenches via each of the insulation layers, and extends downward from the upper surface of the semiconductor layer to a first position. A first semiconductor diffusion layer reaches a second position from the upper surface of the semiconductor layer, is positioned between the first trenches, and has a third impurity concentration lower than the second impurity concentration. A length from the upper surface of the semiconductor layer to the second position is equal to or less than half a length from the upper surface of the semiconductor layer to the first position.
    Type: Application
    Filed: September 19, 2011
    Publication date: September 27, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi OHTA, Masatoshi Arai, Miwako Suzuki
  • Publication number: 20120241854
    Abstract: According to one embodiment, the semiconductor device includes a first semiconductor layer. The semiconductor device includes a plurality of base regions, the base regions are provided on a surface of the first semiconductor layer. The semiconductor device includes a source region selectively provided on each of surfaces of the base regions. The semiconductor device includes a gate electrode provided via a gate insulating film in each of a pair of trenches, each of the trenches penetrate the base regions from a surface of the source region to the first semiconductor layer. The semiconductor device includes a field plate electrode provided via a field plate insulating film in each of the pair of trenches under the gate electrode. A thickness of a part of the field plate insulating film is greater than a thickness of the gate insulating film.
    Type: Application
    Filed: September 21, 2011
    Publication date: September 27, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi OHTA, Masatoshi Arai, Miwako Suzuki
  • Publication number: 20120241849
    Abstract: According to one embodiment, a semiconductor device includes a first semiconductor region, a second semiconductor region, a third semiconductor region, a control electrode, a first main electrode, an internal electrode, and an insulating region. The control electrode is provided inside a trench. The first main electrode is in conduction with the third semiconductor region. The internal electrode is provided in the trench and in conduction with the first main electrode. The insulating region is provided between an inner wall of the trench and the internal electrode. The internal electrode includes a first internal electrode part included in a first region of the trench and a second internal electrode part included in a second region between the first region and the first main electrode. A spacing between the first internal electrode part and the inner wall is wider than a spacing between the second internal electrode part and the inner wall.
    Type: Application
    Filed: September 21, 2011
    Publication date: September 27, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Tetsuro NOZU
  • Publication number: 20120241817
    Abstract: According to an embodiment, a semiconductor device includes a first semiconductor layer, a second semiconductor layer, a control electrode, a third semiconductor layer, first and second main electrodes. The second semiconductor layer is provided on the first semiconductor layer, and has a higher impurity concentration than the first semiconductor layer. The control electrode is provided inside a first trench with an insulating film interposed, the first trench reaching the first semiconductor layer from a front surface of the second semiconductor layer. The third semiconductor layer is provided inside a second trench and including SixGe1-x or SixGeyC1-x-y, the second trench reaching the first semiconductor layer from the front surface of the second semiconductor layer and being adjacent to the first trench with the second semiconductor layer interposed. The first main electrode is connected to the first semiconductor layer, and the second main electrode is connected to the third semiconductor layer.
    Type: Application
    Filed: March 19, 2012
    Publication date: September 27, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: WATARU SAITO, SYOTARO ONO, TOSHIYUKI NAKA, SHUNJI TANIUCHI, MIHO WATANABE, HIROAKI YAMASHITA
  • Publication number: 20120241851
    Abstract: According to one embodiment, a semiconductor device includes a drift layer. The device includes a base layer. The device includes a source layer selectively provided on a surface of the base layer. The device includes a gate electrode provided via a gate insulating film in a trench penetrating the source layer and the base layer to reach the drift layer. The device includes a field plate electrode provided under the gate electrode in the trench. The device includes a drain electrode electrically connected to the drift layer. The device includes a source electrode. The field plate electrode is electrically connected to the source electrode. An impurity concentration of a first conductivity type contained in the base layer is lower than an impurity concentration of the first conductivity type contained in the drift layer. And the impurity concentration of the first conductivity type contained in the drift layer is not less than 1×1016 (atoms/cm3).
    Type: Application
    Filed: September 21, 2011
    Publication date: September 27, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Miwako Suzuki, Norio Yasuhara
  • Publication number: 20120241852
    Abstract: According to one embodiment, a semiconductor device includes a semiconductor substrate, plural stacked bodies, an insulating side wall, an interlayer insulating layer, and a contact. Plural stacked bodies are provided on the semiconductor substrate so as to extend in parallel to one another. Each of the plural stacked bodies includes a gate insulating layer, a gate electrode, and an insulating layer. The insulating side wall covers a side face of the gate electrode in an upper end part thereof and does not cover the side face of the gate electrode in a part thereof contacting the gate insulating layer. The interlayer insulating layer is provided on the semiconductor substrate and covers the stacked bodies. The contact is provided in the interlayer insulating layer between the stacked bodies and is connected to the semiconductor substrate.
    Type: Application
    Filed: September 16, 2011
    Publication date: September 27, 2012
    Inventor: Toshiyuki SASAKI