Mixture Of Vapors Or Gases (e.g., Deposition Gas And Inert Gas, Inert Gas And Reactive Gas, Two Or More Reactive Gases, Etc.) Utilized Patents (Class 427/255.23)
  • Publication number: 20100310770
    Abstract: A process for synthesizing a thin film or composition layer from a plurality of precursor layers supported on a substrate, includes exposing the plurality of precursor layers to non-contact pressure, and heating the plurality of precursor layers under the non-contact pressure to a reaction temperature sufficient to promote the formation of the film or composition layer.
    Type: Application
    Filed: March 26, 2010
    Publication date: December 9, 2010
    Inventors: Baosheng Sang, Louay Eldada, Abner Lim, Matthew Taylor
  • Publication number: 20100311249
    Abstract: Embodiments of the disclosure generally provide a method and apparatus for processing a substrate in a vacuum process chamber. In one embodiment a vacuum process chamber is provided that includes a chamber body and lid disposed on the chamber body. A blocker plate is coupled to the lid and bounds a staging plenum therewith. A gas distribution plate is coupled to the lid. The gas distribution plate separates a main plenum defined between the gas distribution plate and the blocker plate from a process volume defined within the chamber body. The gas distribution plate and the blocker plate define a spacing gradient therebetween which influences mixing of gases within the main plenum.
    Type: Application
    Filed: June 6, 2010
    Publication date: December 9, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JOHN M. WHITE, Carl Sorensen, Robin Tiner, Beom Soo Park, Soo Young Choi
  • Patent number: 7846499
    Abstract: A method of growing a thin film on a substrate by pulsing vapor-phase precursors material into a reaction chamber according to the ALD method. The method comprises vaporizing at least one precursor from a source material container maintained at a vaporising temperature, repeatedly feeding pulses of the vaporized precursor via a feed line into the reaction chamber at a first pressure, and subsequently purging the reaction chamber with pulses of inactive gas fed via the feed line at a second pressure. The second pressure is maintained at the same as or a higher level than the first pressure for separating successive pulses of said vaporized precursor from each other.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 7, 2010
    Assignee: ASM International N.V.
    Inventor: Tom E. Blomberg
  • Patent number: 7846261
    Abstract: Methods for cleaning surface deposits, such as sulfidation deposits or dust particles, from a surface bounding an internal passage in a turbine engine component. The surface deposits are cleaned by placing a halogen-containing organic compound, such as a fluorine-containing organic compound, into the internal passage and heating the component and organic compound to chemically react the halogen-containing species in the liquefied and boiling organic compound with the deposits. The temperature is further elevated to vaporize the chemically-modified deposits, which are moved by mass transport through the internal passage and out of the turbine engine component. An optional protective coating, such as a chromium or aluminum coating, may be applied to the cleaned surface of the internal passage.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: December 7, 2010
    Assignee: Aeromet Technologies, Inc.
    Inventors: Richard Patrick Chesnes, David C Fairbourn
  • Publication number: 20100304027
    Abstract: Embodiments of the invention provide methods for processing substrates within a substrate processing system. In one embodiment, the method provides depositing a material on a substrate within a vapor deposition chamber coupled to a buffer chamber contained within a mainframe while maintaining a pressure of about 1×10?6 Torr or lower within a transfer chamber contained within the mainframe. The method further includes transferring the substrate from the vapor deposition chamber to the buffer chamber by a substrate handling robot while flowing a gas into the buffer chamber, evacuating the vapor deposition chamber, and maintaining a greater internal pressure within the buffer chamber than in the vapor deposition chamber. In some embodiments, the method includes transferring the substrate from the transfer chamber to a PVD chamber coupled to the transfer chamber by another substrate handling robot and depositing another material on the substrate within the PVD chamber.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 2, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Wei Ti Lee, Lai Ta, Srinivas Guggilla, Kevin Moraes, Olkan Cuvalci, Regan Young, John Mazzocco
  • Patent number: 7842343
    Abstract: The invention relates to a method of producing an analytical tool having recesses (20) formed therein for moving a sample liquid, a base plate (2) made of polymeric material, and a cover laminated to the base plate (2) to cover the recesses (20). This producing method includes the step of applying a hydrophilic treatment to the inner surfaces of the recesses (20). The hydrophilic treatment includes a primary modifying operation for primarily modifying the properties of the inner surfaces of the recesses (20) by contacting a modifying gas with the inner surfaces of the recesses (20), and a secondary modifying operation for secondarily modifying the properties of the inner surfaces of the recesses (20).
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: November 30, 2010
    Assignee: ARKRAY, Inc.
    Inventors: Takayuki Taguchi, Shigeru Kitamura, Yuichiro Noda, Toshio Takama
  • Patent number: 7838072
    Abstract: An adaptive real time thermal processing system is presented that includes a multivariable controller. The method includes creating a dynamic model of the MLD processing system and incorporating virtual sensors in the dynamic model. The method includes using process recipes comprising intelligent set points, dynamic models, and/or virtual sensors.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: November 23, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Sanjeev Kaushal, Pradeep Pandey, Kenji Sugishima
  • Patent number: 7837806
    Abstract: Articles of manufacture and methods of making and using same concern a container having an internal space and a passivated internal metal surface. The container contains a composition of an acid gas and a balance gas contained within the internal space and in contact with the passivated internal metal surface. The stability of the acid gas concentration over time is enhanced.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: November 23, 2010
    Assignee: American Air Liquide, Inc.
    Inventors: Robert Benesch, Malik Haouchine, Tracey Jacksier
  • Patent number: 7832550
    Abstract: Methods of passivating a metal surface are described, the methods comprising the steps of exposing the metal surface to a silicon-containing passivation material, evacuating the metal surface, exposing the treated surface to a gas composition, having a concentration of reactive gas that is greater than an intended reactive gas concentration of gas to be transported by the metal surface, evacuating the metal surface to remove substantially all of the gas composition to enable maintenance of an increased shelf-life, low concentration reactive gas at an intended concentration, and exposing the metal surface to the reactive gas at the intended reactive gas concentration. Manufactured products, high stability fluids, and methods of making same are also described.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: November 16, 2010
    Assignee: American Air Liquide, Inc.
    Inventors: Tracey Jacksier, Robert Benesch, Malik Haouchine
  • Publication number: 20100272921
    Abstract: The invention relates to a method and a device for the coating of running substrates (25) moving along a run direction through a treatment zone (6), in which the vapour of a coating material is generated in a chamber (5), this vapour passing through a treatment aperture towards the treatment zone (6) where the coating material condenses on the surface of the substrates (25). The vapour flow through the treatment aperture is controlled by adjusting the extent to which the treatment aperture is shut off by at least one shutter (13), between an open position, in which said vapour flows through the treatment aperture towards the treatment zone (6), and a closed position, in which the vapour is prevented from flowing towards the treatment zone (6) through the treatment aperture.
    Type: Application
    Filed: December 22, 2008
    Publication date: October 28, 2010
    Inventor: Pierre Vanden Brande
  • Patent number: 7820231
    Abstract: The present invention provides an evaporation apparatus, which is one type of film formation apparatus and provides superior uniformity in EL layer film thickness, superior throughput, and improved utilization efficiency of EL materials and an evaporation method. The present invention is characterized in that an evaporation source holder, in which a container that encloses an evaporation material is disposed, is moved at a certain pitch with respect to a substrate during evaporation. Further, a film thickness monitor is integrated with the evaporation source holder for the movement. Furthermore, film thickness can be made uniform by adjusting the moving speed of the evaporation source holder in accordance with values measured by the film thickness monitor.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: October 26, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masakazu Murakami
  • Patent number: 7807233
    Abstract: A method for forming a silicon dioxide cap layer for a carbon hard mask layer for patterning of polysilicon line features having critical dimensions of 50 nm and less is provided. To this end, a low temperature plasma enhanced CVD process is used in which the deposition rate is maintained low to provide improved controllability of the layer thickness and, thus, of the optical characteristics of the silicon dioxide layer.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: October 5, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Hartmut Ruelke, Katja Huy, Karla Romero
  • Publication number: 20100242265
    Abstract: The present invention relates to methods for forming one or more thin film layers on a substrate, to form a multilayer product such as a lithium battery cell. The method involves passing a gas stream comprising at least one doping agent and at least one entrained source material through a plasma; impinging the gas stream on a substrate; and reactively depositing the at least one doping agent, and the at least one entrained source material on the substrate. The present invention provides a method of fabricating a power cell having a plurality of layers, and a method of fabricating a battery by electrically connecting a current collecting layer of a first power cell to a current collecting layer of a second power cell.
    Type: Application
    Filed: August 13, 2008
    Publication date: September 30, 2010
    Applicant: University of Virginia Patent Foundation
    Inventors: Haydn N. G. Wadley, Yoon Gu Kim, Sang-wan Jin
  • Publication number: 20100247767
    Abstract: Apparatus and method for forming thin layers on a substrate are provided. A processing chamber has a gas delivery assembly that comprises a lid with a cap portion and a covering member that together define an expanding channel at a central portion of the lid, the covering member having a tapered bottom surface extending from the expanding channel to a peripheral portion of the covering member. Gas conduits are coupled to the expanding channel and positioned at an angle from a center of the expanding channel to form a circular gas flow through the expanding channel. The bottom surface of the chamber lid is shaped and sized to substantially cover the substrate receiving surface. One or more valves are coupled to the passageway, and one or more gas sources are coupled to each valve. A choke is disposed on the chamber lid adjacent a perimeter of the tapered bottom surface.
    Type: Application
    Filed: June 10, 2010
    Publication date: September 30, 2010
    Inventors: LING CHEN, Vincent Ku, Dien-Yeh Wu, Hua Chung, Alan Ouye, Norman Nakashima
  • Publication number: 20100247766
    Abstract: A first device is provided. The device includes a print head. The print head further includes a first nozzle hermetically sealed to a first source of gas. The first nozzle has an aperture having a smallest dimension of 0.5 to 500 microns in a direction perpendicular to a flow direction of the first nozzle. At a distance from the aperture into the first nozzle that is 5 times the smallest dimension of the aperture of the first nozzle, the smallest dimension perpendicular to the flow direction is at least twice the smallest dimension of the aperture of the first nozzle.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Applicant: University of Michigan
    Inventors: Stephen R. Forrest, Gregory McGraw
  • Publication number: 20100247747
    Abstract: A first evaporation source is disposed such that one predetermined film deposition material is deposited on one region of a substrate; a second evaporation source is disposed such that another predetermined film deposition material is deposited on another region of the substrate; and the substrate is spun such that different materials are contained at a predetermined proportion on a film-deposition surface of the substrate. By disposing the plurality of evaporation sources at different positions, a thin film in which a plurality of materials are mixed, a thin film in which a plurality of materials are arranged in a grid pattern, or a thin film in which a plurality of monomolecular layers are stacked in a film thickness direction (the state can also be substantially called a super multi-monomolecular-layers) can be formed.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Inventor: Shunpei Yamazaki
  • Patent number: 7799377
    Abstract: Provided is a method for depositing an organic/inorganic thin film. The method includes: i) heating a source vessel containing an organic material and an inorganic material; ii) transferring a deposition gas to a process chamber; iii) distributing the deposition gas onto a substrate disposed in the process chamber; iv) purging the process chamber; v) heating an activating agent source vessel; vi) transferring a heat initiator gas phase to the process chamber; vii) distributing the heat initiator gas phase onto the organic or inorganic material monomer deposited on the substrate through the process chamber, and forming an organic/inorganic thin film; and viii) exhausting the heat initiator gas phase and purging the process chamber. Depositing the organic/inorganic thin film in a time-division manner, the thickness of the thin film can be accurately adjusted and the deposition can be uniformly performed when the thin film is deposited on a large-scale substrate.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: September 21, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Seong Deok Ahn, Seung Youl Kang, Chul Am Kim, Ji Young Oh, In Kyu You, Gi Heon Kim, Kyu Ha Baek, Kyung Soo Suh
  • Patent number: 7799376
    Abstract: A structural film, typically of silicon, in MEMS or NEMS devices is fabricated by depositing the film in the presence of a gas other than nitrogen, and preferably argon as the carrier gas.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: September 21, 2010
    Assignee: DALSA Semiconductor Inc.
    Inventors: Vincent Fortin, Luc Ouellet
  • Patent number: 7794788
    Abstract: A method for pre-conditioning a film precursor vaporization system configured to supply a film precursor vapor to a deposition system for performing a deposition process is described. Prior to the deposition process, the gas pressure within the film precursor vaporization system is adjusted to a pre-determined target pressure. For example, the gas pressure within the film precursor vaporization system can be adjusted to a pressure consistent with a flow of process gas containing the film precursor vapor and a carrier gas to the deposition system at a flow rate utilized during the deposition process without introducing the process gas to the deposition system prior to the deposition process.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Patent number: 7785671
    Abstract: A thermal barrier coating system comprising a metal substrate, a metal bonding layer and a ceramics thermal barrier layer wherein the ceramics thermal barrier layer has a columnar structure of a stabilized zirconia containing a stabilizer or a stabilized ZrO2—HfO2 solid solution containing a stabilizer, and comprises 0.1 to 10 mol % of lanthanum oxide.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: August 31, 2010
    Assignees: Japan Fine Ceramics Center, Ishikawajima-Harima Heavy Industries Co., Ltd.
    Inventors: Mineaki Matsumoto, Norio Yamaguchi, Kazushige Kimura, Hideaki Matsubara, Yasuo Matsunaga, Kouichi Matsumoto, Yasuhiro Shigegaki, Takahito Araki
  • Patent number: 7781016
    Abstract: Methods are disclosed of determining a fill level of a precursor in a bubbler. The bubbler is fluidicly coupled with a substrate processing chamber through a vapor-delivery system. The bubbler and vapor-delivery system are backfilled with a known dose of a backfill gas. A pressure and temperature of the backfill gas are determined, permitting a total volume for the backfill gas in the bubbler and vapor-delivery system to be determined by application of a gas law. The fill level of the precursor in the bubbler is determined as a difference between (1) a total volume of the bubbler and vapor-delivery system and (2) the determined total volume for the backfill gas.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ronald Stevens, Brendan McDougall, Jacob W. Smith, Garry Kwong, Sandeep Nijhawan, Lori D. Washington
  • Patent number: 7781018
    Abstract: This invention discloses a method of making an oxygen scavenging particle comprised of an activating component and an oxidizable component wherein one component is deposited upon the other component from a vapor phase and is particularly useful when the activating component is a protic solvent hydrolysable halogen compound and the oxygen scavenging particle is a reduced metal.
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: August 24, 2010
    Assignee: M&G USA Corporation
    Inventor: Kevin L. Rollick
  • Patent number: 7771836
    Abstract: A golden ornament includes a base material; a Ti coating film which is formed on a surface of the base material in an atmosphere of an inert gas other than nitrogen and whose Ti atom content is constant in the thickness direction; a TiN gradient coating film which is formed on the Ti coating film and whose N atom content has a gradient in the thickness direction; a TiN coating film which is formed on the TiN gradient coating film and whose contents of Ti atoms and N atoms are constant in the thickness direction; an Au—TiN mixture gradient coating film which is formed on the TiN coating film and whose Au atom content has a gradient in the thickness direction; and an Au—TiN mixture coating film which is formed on the Au—TiN mixture gradient coating film and whose contents of Au atoms, Ti atoms, and N atoms are constant in the thickness direction.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: August 10, 2010
    Assignee: Citizen Holdings Co., Ltd.
    Inventors: Koichi Naoi, Fumio Tase, Yukio Miya, Osamu Tanaka, Masayoshi Ushikubo
  • Patent number: 7767320
    Abstract: The invention relates to hard-coated bodies with a single- or multi-layer system containing at least one Ti1-xAlxN hard layer and a method for production thereof. The aim of the invention is to achieve a significantly improved wear resistance and oxidation resistance for such hard-coated bodies. Said hard-coated bodies are characterised in that the bodies are coated with at least one Ti1-xAlxN hard layer, generated by CVD without plasma stimulation present as a single-phase layer with cubic NaCl structure with a stoichiometric coefficient x>0.75 to x=0.93 and a lattice constant afcc between 0.412 nm and 0.405 nm, or as a multi-phase layer, the main phase being Ti1-xAlxN with a cubic NaCl structure with a stoichiometric coefficient x>0.75 to x=0.93 and a lattice constant afcc between 0.412 nm and 0.405 nm, with Ti1-xAlxN with a wurtzite structure and/or as TiNx with NaCl structure as further phase. Another feature of said hard layer is that the chlorine content is in the range of only 0.05 to 0.9 atom %.
    Type: Grant
    Filed: July 4, 2006
    Date of Patent: August 3, 2010
    Assignee: Fraunhofer-Gesellschaft zur Förderung der Angewandten Forschung E.V.
    Inventor: Ingolf Endler
  • Patent number: 7763311
    Abstract: A method for depositing a thin film on a substrate in a vapor deposition system is described. Prior to the deposition process, the substrate is provided within the vapor deposition system and coupled to an upper surface of a substrate holder within the vapor deposition system, whereby the substrate is heated to a deposition temperature in a first gaseous atmosphere. Thereafter, the first gaseous atmosphere is displaced by a second gaseous atmosphere, and the pressure is adjusted to a deposition pressure. The second gaseous atmosphere comprises a gaseous composition that is substantially the same as the carrier gas utilized to transport film precursor vapor to the substrate and the optional dilution gas utilized to dilute the carrier gas and film precursor vapor.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: July 27, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Publication number: 20100178424
    Abstract: A thin film of a uniform film thickness is formed even without increasing the film deposition rate. The temperature of an evaporation device disposed in an evaporation chamber is raised in advance, and an organic material is dropped from a supply unit onto an evaporation surface of the evaporation device; and when the organic material is evaporated, a heated carrier gas is introduced into the evaporation chamber, and is mixed in the evaporation chamber and is introduced into a discharger. While a molecular flow is formed in the discharger in a case that only the organic material vapor is introduced into the discharger, the pressure within the discharger is raised due to the carrier gas, so that a viscous flow is formed and the mixed gas is filled in the discharger and is uniformly discharged. The organic material may be supplied by a small amount and the film deposition rate may not become too high.
    Type: Application
    Filed: March 5, 2010
    Publication date: July 15, 2010
    Applicant: ULVAC, INC.
    Inventor: Toshio NEGISHI
  • Patent number: 7754281
    Abstract: A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: July 13, 2010
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Kevin C. O'Brien, Stephan A. Letts, Christopher M. Spadaccini, Jeffrey C. Morse, Steven R. Buckley, Larry E. Fischer, Keith B. Wilson
  • Publication number: 20100173073
    Abstract: Because an evaporating apparatus for use in an MOCVD film deposition system has a structure in which a plurality of gas passages brings in a gas from the upper direction, the apparatus has a difficulty to position a jet nozzle, and the apparatus is incapable of accurately controlling the pressure and flow rate of a carrier gas mixed with a raw material solution to be issued into an evaporating unit, and it is thus difficult to highly accurately control the composition of MOCVD films. A plurality of gas passages is arranged on a flat, disk-shaped plate. With this configuration, the accurate positioning of the jet nozzle can be made easier, and the composition of MOCVD films can be controlled highly accurately.
    Type: Application
    Filed: May 15, 2008
    Publication date: July 8, 2010
    Applicant: KABUSHIKI KAISHA WATANABE SHOKO
    Inventors: Masayuki Toda, Masaki Kusuhara, Masaru Umeda, Mitsuru Fukagawa
  • Publication number: 20100159125
    Abstract: The present invention refers to a method as well as an apparatus for depositing a layer at a substrate, the layer containing at least two components co-deposited by at least two evaporation sources, wherein the mixture of the components regarding the content of the components is set by tilting the evaporation sources to predetermined angle and/or by positioning the evaporation sources at a predetermined distance with respect to the substrate and/or wherein evaporation plumes of the evaporation sources are arranged such that the maxima of the evaporation plumes are separated locally with respect to the substrate.
    Type: Application
    Filed: December 23, 2008
    Publication date: June 24, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Juergen Bruch, Elisabeth Sommer, Uwe Hoffmann, Manuel Dieguez-Campo
  • Publication number: 20100151129
    Abstract: A method and an arrangement for providing chalcogens as thin layers on substrates, in particular on planar substrates prepared with precursor layers and composed of any desired materials, preferably on substrates composed of float glass is achieved by forming an inlet- and outlet-side gas curtain for an oxygen-tight closure of a transport channel in a vapour deposition head, introducing an inert gas into the transport channel for displacing atmospheric oxygen, introducing one or more substrates to be coated, the substrates being temperature-regulated to a predetermined temperature, into the transport channel, introducing a chalcogen vapour/carrier gas mixture from a source into the transport channel at the vapour deposition head above the substrates and forming a selenium layer on the substrates by PVD at a predetermined pressure, and removing the substrates after a predetermined process time has elapsed.
    Type: Application
    Filed: September 11, 2008
    Publication date: June 17, 2010
    Applicant: CENTROTHERM PHOTOVOLTAICS AG
    Inventors: Dieter Schmid, Reinhard Lenz, Robert Michael Hartung
  • Patent number: 7732011
    Abstract: The embodiments of present invention provide method for imparting tone-controlled colors into colorless crystals such as gemstones or decorative objects by coating a atomically mixed thin film comprising of a color causing reagent and a toner material onto the surface of colorless gemstones or transparent crystals and subjecting them to a heat treatment to produce colors of desired shades in the crystals. The method employed is radiation-free, eco-friendly and avoid the use of any hazardous material. The method highlights that controlling the amount of toner material could easily control the shade of color induced by the colorant material. The coating of atomically mixed single film onto the surface of crystals results in reduction of diffusion time significantly at a reasonable temperature, to impart colors to crystals such as gemstones and colorless decorative objects.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: June 8, 2010
    Inventors: Ram Pratap Gupta, Samir Gupta
  • Patent number: 7722926
    Abstract: The present invention provides organometallic compounds and methods of forming thin films including using the same. The organometallic compounds include a metal and a ligand linked to the metal. The ligand can be represented by the following formula (1): wherein R1 and R2 are each independently hydrogen or an alkyl group. The thin films may be applied to semiconductor structures such as a gate insulation layer of a gate structure and a dielectric layer of a capacitor.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: May 25, 2010
    Assignees: Samsung Electronics Co., Ltd., Techno Semichem Co., Ltd.
    Inventors: Kyu-Ho Cho, Seung-Ho Yoo, Byung-Soo Kim, Jae-Sun Jung, Han-Jin Lim, Ki-Chul Kim, Jae-Soon Lim
  • Patent number: 7722927
    Abstract: A device and a method for facilitating the deposition and patterning of organic materials onto substrates utilizing the vapor transport mechanisms of organic vapor phase deposition is provided. The device includes one or more nozzles, and an apparatus integrally connected to the one or more nozzles, wherein the apparatus includes one or more source cells, a carrier gas inlet, a carrier gas outlet, and a first valve capable of controlling the flow of a carrier gas through the one or more source cells. The method includes moving a substrate relative to an apparatus, and controlling the composition of the organic material and/or the rate of the organic material ejected by the one or more nozzles while moving the substrate relative to the apparatus, such that a patterned organic layer is deposited over the substrate.
    Type: Grant
    Filed: July 18, 2008
    Date of Patent: May 25, 2010
    Assignee: The Trustees of Princeton University
    Inventors: Max Shtein, Stephen R. Forrest, Jay B. Benzinger
  • Patent number: 7718121
    Abstract: A method and a reaction apparatus which can safely and continuously treat/discharge especially a short object is treated without any direct contact with a gas atmosphere, and which surely/efficiently treats the object with a gas without any uneven treatment. A short object A to be treated is put in a hermetically sealed cylindrical treatment section 1. In the treatment section 1, the object is held in a predetermined position by a first operation piece 11 to be treated with a gas for a predetermined time. Then, the holding by the first operation piece is released to move the object A by a desired distance. Subsequently, the object is held in a predetermined position by a second operation piece 12 to be treated again with the gas for a predetermined time, and then a treated object A1 is discharged. This discharged treated object A1 is conveyed to the outside of the apparatus.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: May 18, 2010
    Assignee: Fukoku Co., Ltd.
    Inventors: Kazuhiko Sueoka, Yasuji Takada
  • Patent number: 7718225
    Abstract: Methods are disclosed for adjusting the temperature of at least a portion of the surface of a reaction chamber during a film formation process to control film properties. More than one portion of the chamber surface may be temperature-modulated, and may be accomplished by actively keeping the temperature of a first wall of the reaction chamber above the temperature of a second wall during the film formation process.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Satheesh Kuppurao, David K. Carlson, Manish Hemkar, Andrew Lam, Errol Sanchez, Howard Beckford
  • Patent number: 7713583
    Abstract: An isotope-doped carbon nanotube (40) includes at least two kinds of carbon nanotube segments, each kind of carbon nanotube segment having a unique carbon isotope. The at least two kinds of carbon nanotube segments are arranged along a longitudinal direction of the carbon nanotube alternately or non-alternately. The carbon isotope is selected from the group consisting of a carbon-12 isotope, a carbon-13 isotope and a carbon-14 isotope. Three preferred methods employ different single isotope sources to form isotope-doped carbon nanotubes. In a chemical vapor deposition method, different isotope source gases are alternately or non-alternately introduced. In an arc discharge method, a power source is alternately or non-alternately switched between different isotope anodes. In a laser ablation method, a laser is alternately or non-alternately focused on different isotope targets.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: May 11, 2010
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Shou-Shan Fan, Liang Liu
  • Patent number: 7713584
    Abstract: Processes are provided for producing bismuth-containing oxide thin films by atomic layer deposition. In preferred embodiments an organic bismuth compound having at least one monodentate alkoxide ligand is used as a bismuth source material. Bismuth-containing oxide thin films can be used, for example, as ferroelectric or dielectric materials in integrated circuits and as superconductor materials.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: May 11, 2010
    Assignee: ASM International N.V.
    Inventors: Timo Hatanpaa, Marko Vehkamaki, Mikko Ritala, Markku Leskela
  • Publication number: 20100104754
    Abstract: Embodiments of the present invention generally provide apparatus and methods for introducing process gases into a processing chamber at a plurality of locations. In one embodiment, a central region of a showerhead and corner regions of a showerhead are fed process gases from a central gas source with a first mass flow controller regulating the flow in the central region and a second mass flow controller regulating the flow in the corner regions. In another embodiment, a central region of a showerhead is fed process gases from a first gas source and corner regions of the showerhead are fed process gases from a second gas source. In another embodiment, a central region of a showerhead is fed process gases from a first gas source and each corner region of the showerhead is fed process gases from a separate gas source.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 29, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ALAN TSO, Lun Tsuei, Tom K. Cho, Brian Sy-Yuan Shieh
  • Publication number: 20100104755
    Abstract: Method for producing a metal-containing film by introducing a metal source which does not contain metal-C or metal-N—C s-bonds (for example, TaCl<SUB>5</SUB>, SEt<SUB>2</SUB>), a silicon precursor (for example, SiH(NMe<SUB>2</SUB>)<SUB>3</SUB> or (SiH<SUB>3</SUB>)<SUB>3</SUB>N), a nitrogen precursor such as ammonia, a carbon source such as monomethylamine or ethylene and a reducing agent (for example, H<SUB>2</SUB>) into a CVD chamber and reacting same at the surface of a substrate to produce metal containing films in a single step.
    Type: Application
    Filed: June 29, 2005
    Publication date: April 29, 2010
    Inventors: Christian Dussarrat, Kazutaka Yanagita, Julien Gatineau
  • Publication number: 20100098550
    Abstract: A method for the coating of a base body is proposed, in which a layer of a platinum modified aluminide of the kind PtMAl is produced on the base body wherein M designates the metals iron (Fe) or nickel (Ni) or cobalt (Co) or combinations of these metals, wherein the layer is produced by means of a physical deposition out of the gas phase (PVD), wherein at least the two components aluminium (Al) and metal M are physically deposited out of the vapour phase, with the deposition being carried out at a process pressure of at least 0.1 mbar, preferably of at least 0.4 mbar and especially between 0.4 mbar and 0.6 mbar. A workpiece is further proposed, in particular a turbine blade, with a base body on which a layer is applied which is produced using a method of this kind.
    Type: Application
    Filed: November 10, 2006
    Publication date: April 22, 2010
    Applicant: Sulzer Metco Coatings B.V.
    Inventor: Wolfram Beele
  • Patent number: 7700156
    Abstract: In a method of forming a silicon oxide film, a target substrate that has a silicon layer on a surface is loaded into a process area within a reaction container, while setting the process area to have a loading temperature of 400° C. or less. Then, the process area that accommodates the target substrate is heated, from the loading temperature to a process temperature of 650° C. or more. Water vapor is supplied into the reaction container during said heating the process area, while setting the water vapor to have a first concentration in an atmosphere of the process area, and setting the process area to have a first reduced pressure. After said heating the process area to the process temperature, an oxidation gas is supplied into the reaction container, thereby oxidizing the silicon layer to form a silicon oxide film.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: April 20, 2010
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Kimiya Aoki, Katsushi Suzuki, Asami Shirakawa, Kenji Tago, Keisuke Suzuki, Kazuo Saki, Shinji Mori
  • Patent number: 7682660
    Abstract: A method of fabricating an organic film is provided. A non-reactive carrier gas is used to transport an organic vapor. The organic vapor is ejected through a nozzle block onto a cooled substrate, to form a patterned organic film. A device for carrying out the method is also provided. The device includes a source of organic vapors, a source of carrier gas and a vacuum chamber. A heated nozzle block attached to the source of organic vapors and the source of carrier gas has at least one nozzle adapted to eject carrier gas and organic vapors onto a cooled substrate disposed within the vacuum chamber.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: March 23, 2010
    Assignee: The Trustees of Princeton University
    Inventors: Max Shtein, Stephen R. Forrest
  • Publication number: 20100055347
    Abstract: An activated gas injector includes a flow passage defining member partitioned into a gas activation passage and a gas introduction passage by a partition wall; a gas introduction port through which a process gas is introduced into the gas introduction passage; a pair of electrodes to be supplied with electrical power to activate the process gas, wherein the electrodes extend along the partition wall in the gas activation passage; through-holes formed in the partition wall and arranged along a longitudinal direction of the electrodes, wherein the through-holes allow the process gas to flow from the gas introduction passage to the gas activation passage; and gas ejection holes provided in the gas activation passage along the longitudinal direction of the electrodes, wherein the gas ejection holes allow the process gas activated in the gas activation passage to be ejected therefrom.
    Type: Application
    Filed: August 26, 2009
    Publication date: March 4, 2010
    Inventors: HITOSHI KATO, Yasushi Takeuchi, Shigehiro Ushikubo, Hiroyuki Kikuchi
  • Patent number: 7670645
    Abstract: Techniques for vaporizing and handling a vaporized metallic element or metallic element salt with a heated inert carrier gas for further processing. The vaporized metallic element or salt is carried by an inert carrier gas heated to the same temperature as the vaporizing temperature to a heated processing chamber. The metal or salt vapor may be ionized (and implanted) or deposited on substrates. Apparatus for accomplishing these techniques, which include carrier gas heating chambers and heated processing chambers are also provided.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: March 2, 2010
    Assignee: LSI Corporation
    Inventors: Sheldon Aronowitz, James O. Kimball
  • Patent number: 7666463
    Abstract: Methods for manufacturing porous nuclear fuel elements for use in advanced high temperature gas-cooled nuclear reactors (HTGR's). Advanced uranium bi-carbide, uranium tri-carbide and uranium carbonitride nuclear fuels can be used. These fuels have high melting temperatures, high thermal conductivity, and high resistance to erosion by hot hydrogen gas. Tri-carbide fuels, such as (U,Zr,Nb)C, can be fabricated using chemical vapor infiltration (CVI) to simultaneously deposit each of the three separate carbides, e.g., UC, ZrC, and NbC in a single CVI step. By using CVI, a thin coating of nuclear fuel may be deposited inside of a highly porous skeletal structure made, for example, of reticulated vitreous carbon foam.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: February 23, 2010
    Assignee: Sandia Corporation
    Inventors: Dennis L. Youchison, Brian E. Williams, Robert E. Benander
  • Patent number: 7662431
    Abstract: Tin oxide having high mobility and a low electron concentration, and methods for producing layers of the tin oxide layers on a substrate by atmospheric pressure chemical vapor deposition (APCVD) are disclosed. The tin oxide may undoped polycrystalline n-type tin oxide or it may be doped polycrystalline p-type tin oxide. When the layer of tin oxide is formed on a crystalline substrate, substantially crystalline tin oxide is formed. Dopant precursors for producing doped p-type tin oxide are also disclosed.
    Type: Grant
    Filed: April 21, 2005
    Date of Patent: February 16, 2010
    Assignee: Arkema Inc.
    Inventors: Roman Y. Korotkov, David A. Russo, Thomas D. Culp, Gary S. Silverman, Pierre Beaujuge
  • Publication number: 20100036144
    Abstract: Improved methods for performing atomic layer deposition (ALD) are described. These improved methods provide more complete saturation of the surface reactive sites and provides more complete monolayer surface coverage at each half-cycle of the ALD process. In one embodiment, operating parameters are fixed for a given solvent based precursor. In another embodiment, one operating parameter, e.g. chamber pressure is altered during the precursor deposition to assure full surface saturation.
    Type: Application
    Filed: July 12, 2007
    Publication date: February 11, 2010
    Inventors: Ce Ma, Graham McFarlane, Qing Min Wang, Patrick J. Helly
  • Publication number: 20100035030
    Abstract: A layer of material, such as crystalline indium tin oxide (ITO), is formed on top of a substrate by heating the material to a high temperature, while a temperature increase of the substrate is limited such that the temperature of the substrate does not exceed a predetermined temperature. For example, a layer including amorphous ITO can be deposited on top of the substrate, and the amorphous layer can be heated in a surface anneal process using radiation while limiting substrate temperature. Another process can pass electrical current through the amorphous ITO. In another process, the substrate is passed through a high-temperature deposition chamber quickly, such that a portion of a layer of crystalline ITO is deposited, while the temperature increase of the substrate is limited.
    Type: Application
    Filed: August 8, 2008
    Publication date: February 11, 2010
    Inventors: Lili Huang, John Z. Zhong
  • Patent number: 7658970
    Abstract: Embodiments described herein relate to depositing a cobalt-containing layer by a cyclical deposition process while forming interconnects on a substrate. In one embodiment, a method for forming an interconnect structure is provided which includes depositing a tungsten-containing barrier layer over an exposed contact metal surface within an aperture formed in an insulating material disposed on a substrate, forming a cobalt-containing layer on the tungsten-containing barrier layer using a cyclical deposition process by sequentially exposing the substrate to a cobalt precursor gas and a silicon reducing gas, wherein the cobalt precursor gas contains a cobalt precursor having a cyclopentadienyl ligand, and depositing a copper material on the cobalt-containing layer.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: February 9, 2010
    Inventors: Mei Chang, Ling Chen
  • Publication number: 20100021631
    Abstract: In a coating apparatus, a distributor plate 104 is disposed upstream of a silicon wafer 101 relative to the direction of flow of reactive gas. The distributor plate 104 has therein first through-holes 104a and second through-holes 104b arranged so as not to meet the first through-holes 104a. The reactive gas passes through the first through-holes 104a and flows down toward the silicon wafer 101. Further, a cooling gas passes through the second through-holes 104b.
    Type: Application
    Filed: July 23, 2009
    Publication date: January 28, 2010
    Inventors: Yoshikazu MORIYAMA, Kunihiko SUZUKI, Hironobu HIRATA