Pretreatment Of Coating Supply Or Source Outside Of Primary Deposition Zone Or Off Site Patents (Class 427/561)
  • Patent number: 11891542
    Abstract: Alpha-Alumina flakes having a particle thickness of 130-400 nm, a D50-value of 15-30 ?m, a D90-value of 30-45 ?m and a D10-value of <9.5 ?m. Use of the alumina flakes in varnishes, paints, automotive coatings printing inks, masterbatches, plastics and cosmetic formulations. Also, use of the alumina flakes as a substrate for effect pigments and in organic dyes.
    Type: Grant
    Filed: April 21, 2022
    Date of Patent: February 6, 2024
    Assignee: MERCK PATENT GMBH
    Inventors: Ryuta Suzuki, Gerhard Pfaff, Sabine Schoen, Noriyuki Matsuda, Katsuhisa Nitta
  • Patent number: 11891541
    Abstract: Alpha-Alumina flakes having a particle thickness of 130-400 nm, a D50-value of 15-30 ?m, a D90-value of 30-45 ?m and a D10-value of <9.5 ?m. Use of the alumina flakes in varnishes, paints, automotive coatings printing inks, masterbatches, plastics and cosmetic formulations. Also, use of the alumina flakes as a substrate for effect pigments and in organic dyes.
    Type: Grant
    Filed: April 14, 2022
    Date of Patent: February 6, 2024
    Assignee: MERCK PATENT GMBH
    Inventors: Ryuta Suzuki, Gerhard Pfaff, Sabine Schoen, Noriyuki Matsuda, Katsuhisa Nitta
  • Patent number: 11655535
    Abstract: The invention relates to a device for pulsed laser deposition and a substrate with a substrate surface, which device includes: a substrate holder for holding the substrate; a target arranged facing the substrate surface of the substrate; a velocity filter arranged between the substrate and the target; a pulsed laser directed onto the target at a target spot for generating a plasma plume of target material; and a plasma hole plate arranged between the target and the substrate. The plasma hole plate has a plasma passage opening divided in an upstream section and a downstream section by a dividing plane. The target spot coincides with the dividing plane, and the surface area of the upstream section is larger than the surface area of the downstream section.
    Type: Grant
    Filed: June 25, 2019
    Date of Patent: May 23, 2023
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Jan Arnaud Janssens, Jan Matthijn Dekkers, Kristiaan Hendrikus Aloysius Böhm, Willem Cornelis Lambert Hopman, Jeroen Aaldert Heuver
  • Patent number: 10720341
    Abstract: A high-pressure processing system for processing a layer on a substrate includes a first chamber, a support to hold the substrate in the first chamber, a second chamber adjacent the first chamber, a foreline to remove gas from the second chamber, a vacuum processing system configured to lower a pressure within the second chamber to near vacuum, a valve assembly between the first chamber and the second chamber to isolate the pressure within the first chamber from the pressure within the second chamber, a gas delivery system configured to increase the pressure within the first chamber to at least 10 atmospheres while the first chamber is isolated from the second chamber, an exhaust system comprising an exhaust line to remove gas from the first chamber, and a common housing surrounding both the first gas delivery module and the second gas delivery module.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: July 21, 2020
    Assignee: Micromaterials, LLC
    Inventors: Qiwei Liang, Srinivas D. Nemani, Sean S. Kang, Adib Khan, Ellie Y. Yieh
  • Patent number: 10590553
    Abstract: A method of producing metallic tantalum comprises the steps of providing a precursor comprising a tantalate of a first metal, arranging the precursor material in contact with a molten salt in an electrolytic cell, the electrolysis cell further comprising an anode and a cathode arranged in contact with the molten salt, and applying a potential between the anode and the cathode such that the precursor material is reduced to tantalum. The first metal is an alkali metal or an alkaline earth metal. The anode does not comprise a carbon material, which prevents contamination of the tantalum and improves current efficiency of the process.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: March 17, 2020
    Assignee: METALYSIS LIMITED
    Inventors: Ian Mellor, Greg Doughty
  • Patent number: 9855757
    Abstract: A liquid cartridge includes: a storage element; an adhesive agent; and a cartridge body. The storage element includes: a substrate having a first surface; and a protrusion protruding from the first surface. The cartridge body includes a support portion supporting the storage element. The support portion has: a first support surface in contact with the first surface and supporting the first surface; a second support surface in contact with the first surface and supporting the first surface; and a recessed surface positioned between the first support surface and the second support surface in a first direction. The recessed surface defines a chamber configured to store the adhesive agent to fix the protrusion of the storage element to the recessed surface. The chamber is exposed to an outside through an opening in a second direction perpendicular to the first direction and parallel to the first support surface.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: January 2, 2018
    Assignee: BROTHER KOGYO KABUSHIKI KAISHA
    Inventors: Akihito Ono, Naoya Okazaki
  • Patent number: 9831430
    Abstract: An evaporation source is provided. The evaporation source includes a substrate, a plurality of recesses spaced from each other and arranged on the substrate, and a plurality of heating sources arranged within the plurality of recesses.
    Type: Grant
    Filed: April 14, 2016
    Date of Patent: November 28, 2017
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Wenbin Jia, Rui Peng, Xinwei Gao, Guangcai Yuan
  • Patent number: 9687810
    Abstract: Materials such as biomass (e.g., plant biomass, animal biomass, and municipal waste biomass) and hydrocarbon-containing materials are processed to produce useful products, such as fuels. For example, systems are described that can use feedstock materials, such as cellulosic and/or lignocellulosic materials and/or starchy materials, or oil sands, oil shale, tar sands, bitumen, and coal to produce altered materials such as fuels (e.g., ethanol and/or butanol). The processing includes exposing the materials to an ion beam.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: June 27, 2017
    Assignee: XYLECO, INC.
    Inventor: Marshall Medoff
  • Patent number: 9494068
    Abstract: This invention relates generally to uses of novel nanomaterial composition and the systems in which they are used, and more particularly to nanomaterial compositions generally comprising carbon and a metal, which composition can be exposed to pulsed emissions to react, activate, combine, or sinter the nanomaterial composition. The nanomaterial compositions can alternatively be utilized at ambient temperature or under other means to cause such reaction, activation, combination, or sintering to occur.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: November 15, 2016
    Assignee: NCC NANO, PLLC
    Inventors: Kurt A. Schroder, Steve McCool, Denny Hamill, Dennis Wilson, Wayne Furlan, Kevin Walter, Darrin Willauer, Karl Martin
  • Patent number: 9386677
    Abstract: An apparatus and method to concentrate a plasma from one or more plasma sources through at least one pathway. A first embodiment of the invention involves a method to concentrate a plasma for treating one or more articles with a selectively concentrated plasma generated from dissociating one or more gases, the method includes supplying one or more gases from a source to a first chamber; applying RF power to dissociate the one or more gases and create a plasma; withdrawing the dissociated one or more gases from the first chamber through at least one pathway; and supplying the dissociated one or more gases to a treatment chamber containing one or more articles, wherein the at least one pathway selectively concentrates the plasma in the at least one pathway by using a narrower pathway with a volume smaller than the treatment chamber to restrict the diffusion of the plasma to concentrate the plasma. A second embodiment of the invention involves an apparatus to concentrate a plasma to treat one or more articles.
    Type: Grant
    Filed: July 17, 2014
    Date of Patent: July 5, 2016
    Inventor: Georges J. Gorin
  • Patent number: 9353278
    Abstract: Provided is an ink containing a self-dispersible pigment, a polyurethane polymer particle, a surfactant, a water-soluble organic solvent, and water. The surfactant includes a fluorinated surfactant represented by Formula (1) and having an HLB value determined by a Griffin method of 11 or less. The water-soluble organic solvent includes at least one water-soluble organic solvent selected from a specific group, and the total content of the water-soluble organic solvents of the specific group is higher than the total content of the water-soluble organic solvents other than the solvents of the specific group.
    Type: Grant
    Filed: October 16, 2014
    Date of Patent: May 31, 2016
    Assignee: Canon Kabushiki Kaisha
    Inventors: Akihiro Taya, Masahiro Terada, Hidetaka Kawamura, Yohei Masada, Masanobu Ootsuka, Takaharu Aotani
  • Patent number: 9224987
    Abstract: A device for manufacturing an organic light-emitting display panel and a method of manufacturing an organic light-emitting display panel by using the same. A device for manufacturing an organic light-emitting display panel includes a plurality of chambers; a deposition unit configured to form a transfer layer on a film supplied into the plurality of chambers in a roll-to-roll process; and a laser thermal transfer device configured to transfer a pattern of the transfer layer formed on the film onto a substrate that is supplied into a chamber of the plurality of chambers.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: December 29, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ha-Jin Song, Seung-Mook Lee
  • Publication number: 20150069354
    Abstract: A method of increasing a work function of an electrode is provided. The method comprises obtaining an electronegative species from a precursor using electromagnetic radiation and reacting a surface of the electrode with the electronegative species. An electrode comprising a functionalized substrate is also provided.
    Type: Application
    Filed: April 15, 2013
    Publication date: March 12, 2015
    Inventors: Michael Helander, Jacky Qiu, Zhibin Wang, Zheng-Hong Lu
  • Patent number: 8961745
    Abstract: The plant is suitable to produce a semiconductor film (8) having a desired thickness and consisting substantially of a compound including at least one element for each of the groups 11, 13, and 16 of the periodic classification of elements. The plant comprises an outer case (1) embedding a chamber (2) divided into one deposition zone (2a) and one evaporation zone (2b), which are separated by a screen (3) interrupted by at least one cylindrical transfer member provided with actuation means rotating about its axis (5). To the deposition zone (2a) a magnetron device (7) is associated, for the deposition by sputtering of at least one element for each of the groups 11 and 13 on the side surface (?) of the cylindrical member that is in the deposition zone (2a). To the evaporation zone (2b) a cell (10) for the evaporation of at least one element of the group 16 is associated, and such an evaporation zone (2b) houses a substrate (8a) on which the film (8) is produced.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: February 24, 2015
    Assignee: VOLTASOLAR S.r.l.
    Inventors: Maurizio Filippo Acciarri, Simona Olga Binetti, Leonida Miglio, Maurilio Meschia, Raffaele Moneta, Stefano Marchionna
  • Patent number: 8945688
    Abstract: A process of forming a material having nano-particles and a material having nano-particles are disclosed. The process includes arranging nano-particles in a predetermined pattern within a matrix material. The material includes arranged nano-particles forming a predetermined pattern in the matrix material.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: February 3, 2015
    Assignee: General Electric Company
    Inventor: Herbert Chidsey Roberts, III
  • Publication number: 20150024146
    Abstract: The invention relates to the use of a melt-in-place acrylic binder that is applied to a substrate in the form of a powder, followed by a fusing together of the binder powder by heat or radiation. Particulate filler is present either on the substrate, mixed with the binder powder, or admixed into the binder powder prior to fusion. The fused binder helps adhere the particulate fillers to the substrate, and may or may not cover the particulate filler.
    Type: Application
    Filed: September 30, 2014
    Publication date: January 22, 2015
    Inventors: Florence Mehlmann, Paul D. Fellenger, Michael T. Burchill, Thomas F. Roland
  • Patent number: 8927066
    Abstract: Methods and apparatus for gas delivery are disclosed herein. In some embodiments, a gas delivery system includes an ampoule for storing a precursor in solid or liquid form, a first conduit coupled to the ampoule and having a first end coupled to a first gas source to draw a vapor of the precursor from the ampoule into the first conduit, a second conduit coupled to the first conduit at a first junction located downstream of the ampoule and having a first end coupled to a second gas source and a second end coupled to a process chamber, and a heat source configured to heat the ampoule and at least a first portion of the first conduit from the ampoule to the second conduit and to heat only a second portion of the second conduit, wherein the second portion of the second conduit includes the first junction.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: January 6, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Yihwan Kim
  • Patent number: 8900675
    Abstract: One embodiment of the present invention is a deposition method for forming a layer 13a containing a deposition material on a deposition target surface of a second substrate, comprising the steps of forming an absorbing layer 12 over one surface of a first substrate 11; forming a material layer 13 containing the deposition material over the absorbing layer; performing first heat treatment on the material layer from the other surface of the first substrate to a temperature lower than the sublimation temperature of the deposition material so as to remove an impurity 14 in the material layer 13; disposing the one surface of the first substrate and the deposition target surface of the second substrate to face each other; and performing second heat treatment on the material layer from the other surface of the first substrate.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: December 2, 2014
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Takahiro Ibe, Tomoya Aoyama, Rena Tsuruoka, Satoshi Inoue, Tohru Sonoda
  • Publication number: 20140342097
    Abstract: The present invention relates application of conformal coatings made up of nano-fiber, nano-particle, and/or nano-capsule materials to be applied on electrical component parts in general and printed circuit boards (PCB) in particular. A conformal coating material, such as Parlyne, can be combined with nano-materials to produce desired results. Benefits of this invention include enhancement of conventional conformal coatings performance in terms of properties such as mechanical, electrical, magnetic and in particular to prevent or obstruct the growth of tin whiskers or any other manufacturing defect that can develop on the surface of a PCB.
    Type: Application
    Filed: March 27, 2014
    Publication date: November 20, 2014
    Applicant: The United States Navy as represented by the Secretary of the Navy
    Inventor: Nishkamraj Deshpande
  • Publication number: 20140302253
    Abstract: The present invention relates to a method for fabricating metal-ion-doped zinc sulfide nanoparticle and a method for generating a warm white light by using the metal-ion-doped zinc sulfide nanoparticle, and particularly relates to a method for fabricating manganese-doped zinc sulfide nanoparticle, which can emit a red light having a wavelength of 600 nm-650 nm, and a method for generating a warm white light by using the manganese-doped zinc sulfide nanoparticle to form a warm white light emission phosphor film.
    Type: Application
    Filed: July 9, 2013
    Publication date: October 9, 2014
    Inventors: CHING-FUH LIN, Pin-Chun SHEN
  • Patent number: 8840972
    Abstract: An object is to provide a deposition method of forming a film in a minute pattern on a deposition target substrate as well as reducing waste of material and increasing material use efficiency. Another object is to manufacture a high-definition light-emitting device at low cost by such a deposition method. Particles containing an organic compound material are dispersed over a deposition substrate having a light-absorbing layer formed over the deposition substrate and are fixed by heat treatment to form a material layer. The light-absorbing layer is irradiated with light which is transmitted through the deposition substrate, so that a material contained in the material layer is selectively deposited onto a deposition target substrate placed facing the deposition substrate. By selective formation of the light-absorbing layer, a film can be selectively deposited in a minute pattern reflecting a pattern of the light-absorbing layer onto the deposition target substrate.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: September 23, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8815352
    Abstract: One embodiment of the present invention is a film forming method including the steps of forming an absorption layer 12 over one surface of a first substrate 11; forming a layer 16 containing a high molecular compound over the absorption layer; removing an impurity in the layer containing the high molecular compound by performing a first heat treatment on the layer 16; forming a material layer 18 containing a first film formation material and a second film formation material over the layer 16; performing a second heat treatment to form a mixed layer 19 in which the material layer and the layer 16 are mixed over the absorption layer; and performing third heat treatment to form a layer 19a containing the first film formation material and the second film formation material on a film-formation target surface of a second substrate.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: August 26, 2014
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Tomoya Aoyama, Hisao Ikeda, Satoshi Inoue, Tohru Sonoda
  • Publication number: 20140205768
    Abstract: Systems and methods for depositing complex thin-film alloys on substrates are provided. In particular, systems and methods for the deposition of thin-film Cd1-xMxTe ternary alloys on substrates using a stacked-source sublimation system are provided, where M is a metal such as Mg, Zn, Mn, and Cu.
    Type: Application
    Filed: April 7, 2014
    Publication date: July 24, 2014
    Applicant: Colorado State University Research Foundation
    Inventors: Walajabad S. Sampath, Pavel S. Kobyakov, Kevin E. Walters, Davis R. Hemenway
  • Patent number: 8779030
    Abstract: Continuous, conducting metal patterns can be formed from metal nanoparticle containing films by exposure to radiation (FIG. 1). The metal patterns can be one, two, or three dimensional and have high resolution resulting in feature sizes in the order of micron down to nanometers Compositions containing the nanoparticles coated with a ligand and further including a dye, a metal salt, and either a matrix or an optional sacrificial donor are also disclosed.
    Type: Grant
    Filed: April 18, 2007
    Date of Patent: July 15, 2014
    Assignee: The Arizona Board of Regents, The University of Arizone
    Inventors: Joseph W. Perry, Seth R. Marder, Francesco Stellacci
  • Patent number: 8747961
    Abstract: Provided is a process by which an electret material having excellent thermal resistance of charge retentivity can be obtained. The process for producing an electret material of the invention includes an irradiation step, a formation step, and a charging step. In the irradiation step, a dispersion containing fine polytetrafluoroethylene particles is irradiated with ? rays. In the formation step, the dispersion which has been irradiated with ? rays is applied to an electrode plate and then dried, and the fine polytetrafluoroethylene particles are sintered to form a polytetrafluoroethylene layer on the electrode plate. In the charging step, the surface of the polytetrafluoroethylene layer is subjected to a charging treatment.
    Type: Grant
    Filed: April 13, 2010
    Date of Patent: June 10, 2014
    Assignee: Nitto Denko Corporation
    Inventors: Seiichi Takaoka, Kumie Yamana
  • Patent number: 8734915
    Abstract: A film-formation method whereby a minute pattern thin film can be formed on a deposition substrate, without provision of a mask between a material and the deposition substrate. Moreover, a light-emitting element is formed by such a film-formation method, and a high-definition light-emitting device can be manufactured. Through a film-formation substrate in which a reflective layer, a light-absorbing layer and a material layer are formed, the light-absorbing layer is irradiated with light, so that a material contained in the material layer is deposited on a deposition substrate which is disposed to face the film-formation substrate. Since the reflective layer is selectively formed, a film to be deposited on the deposition substrate can be selectively formed with a minute pattern reflecting the pattern of the reflective layer. A wet process can be employed for formation of the material layer.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: May 27, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Takahiro Ibe, Takuya Tsurume, Koichiro Tanaka, Satoshi Seo
  • Patent number: 8673407
    Abstract: Three dimensional optical structures are described that can have various integrations between optical devices within and between layers of the optical structure. Optical turning elements can provide optical pathways between layers of optical devices. Methods are described that provide for great versatility on contouring optical materials throughout the optical structure. Various new optical devices are enabled by the improved optical processing approaches.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: March 18, 2014
    Assignee: NeoPhotonics Corporation
    Inventors: Xiangxin Bi, Elizabeth Anne Nevis, Ronald J. Mosso, Michael Edward Chapin, Shivkumar Chiruvolu, Sardar Hyat Khan, Sujeet Kumar, Herman Adrian Lopez, Nguyen Tran The Huy, Craig Richard Horne, Michael A. Bryan, Eric Euvrard
  • Patent number: 8658251
    Abstract: A method of producing a structural member having Prussian blue-type metal complex nanoparticles, the method including: constructing the structural member stabilized by a particular process in producing the structural member by providing nanoparticles consisting of Prussian blue-type metal complex onto a substrate; and a structural member having Prussian blue-type metal complex nanoparticles, the structural member having water-dispersible nanoparticles consisting of Prussian blue-type metal complex provided on a substrate and the structural member being stabilized in water by a particular process.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: February 25, 2014
    Assignee: National Institute of Advanced Industrial Science and Technology
    Inventors: Tohru Kawamoto, Hisashi Tanaka, Masato Kurihara, Masatomi Sakamoto, Ayako Oomura, Hiroshi Watanabe, Akihito Goto
  • Patent number: 8637156
    Abstract: Layers of a passivating material and/or containing luminescent centers are deposited on phosphor particles or particles that contain a host material that is capable of capturing an excitation energy and transferring it to a luminescent center or layer. The layers are formed in an ALD process. The ALD process permits the formation of very thin layers. Coated phosphors have good resistance to ambient moisture and oxygen, and/or can be designed to emit a distribution of desired light wavelengths.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: January 28, 2014
    Inventors: Alan W. Weimer, Steven M. George, Karen J. Buochler, Joseph A. Spencer, II, Jarod McCormick
  • Patent number: 8586150
    Abstract: The present invention provides a method for producing a perovskite-structure oxide, with which a highly crystalline oxide layer is formed on a base layer that is metal or the like. The method comprises the steps of: forming, on a base layer, a buffer layer having thermal conductivity lower than thermal conductivity of the base layer; forming a precursor layer of an ABO3-type perovskite-structure oxide comprising Ba at A sites thereof and Ti at B sites thereof on the buffer layer; decomposing the precursor layer, thereby forming an oxide layer comprising Ba and Ti; and annealing the oxide layer by irradiating laser light thereon.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: November 19, 2013
    Assignees: National Institute of Advanced Industrial Science and Technology, TDK Corporation
    Inventors: Tetsuo Tsuchiya, Yuki Yamashita, Masato Susukida
  • Publication number: 20130236659
    Abstract: Methods for vapor depositing high temperature coatings on gas turbine components are provided, as are methods for producing pre-alloyed pucks for usage in vapor deposition processes. In one embodiment, the method includes the step of producing a pre-alloyed puck including a master alloy and a high vaporization temperature refractory metal, which has a vaporization temperature greater than each of the master alloy constituents. The pre-alloyed puck is placed over an ingot and heated to a temperature greater than the melt point of the pre-alloyed puck and less than the vaporization temperature of the high vaporization temperature refractory metal to transform the puck and a portion of the ingot into a molten pool and to produce a vapor stream containing the constituents of the master alloy and the ingot. The vapor stream is exposed to a gas turbine engine component to deposit the high temperature coating over at least one surface thereof.
    Type: Application
    Filed: March 7, 2012
    Publication date: September 12, 2013
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Michelle Ernst, Dean Marszal, Paul Mravcak, Fred Knoch, Rudolfo Viguie
  • Patent number: 8507049
    Abstract: The invention relates to a method for the coating of a surface of a ceramic basic body with a titanium compound, comprising the steps of (i) providing a preformed ceramic material; (ii) at least one step of surface activation of said ceramic material using a plasma for plasma-chemical surface preparation wherein the plasma comprises high-energy ions; (iii) at least one step of applying a titanium compound bonding layer to said ceramic material by plasma-supported coating wherein the plasma-supported coating is performed in pulsed and/or non-pulsed fashion; (iv) at least one step of applying a functional titanium compound layer by pulsed plasma-supported coating. The invention also relates to novel compositions as well as uses of the novel compositions.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: August 13, 2013
    Assignee: Ceramoss GmbH
    Inventor: Sorin Lenz
  • Patent number: 8449950
    Abstract: A method and apparatus for forming an electrochemical layer of a thin film battery is provided. A precursor mixture comprising precursor particles dispersed in a carrying medium is activated in an activation chamber by application of an electric field to ionize at least a portion of the precursor mixture. The activated precursor mixture is then mixed with a combustible gas mixture to add thermal energy to the precursor particles, converting them to nanocrystals, which deposit on a substrate. A second precursor may be blended with the nanocrystals as they deposit on the surface to enhance adhesion and conductivity.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: May 28, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Quanyuan Shang, Lu Yang, Karl M. Brown, Donald J. K. Olgado, Victor Pebenito, Hooman Bolandi, Tetsuya Ishikawa, Robert Z. Bachrach, Liang-Yuh Chen
  • Publication number: 20130084406
    Abstract: An object of the present invention is to simplify the process of producing an electrode composite material. Disclosed is a method for producing an electrode composite material, comprising the steps of: preparing a material comprising Li, La, Ti and O and heating the material, wherein the composition ratio between Li, La and Ti of the material is in the range of a triangle having three vertices at LiO0.5:LaO1.5:TiO2=23:24:53, LiO0.5:LaO1.5:TiO2=5:36:59 and LiO0.5:LaO1.5:TiO2=8:28:64 in the LiO0.5—LaO1.5—TiO2 triangular diagram.
    Type: Application
    Filed: November 29, 2011
    Publication date: April 4, 2013
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Chihiro YADA, Brian E. HAYDEN, Duncan C.A. SMITH, Christopher E. LEE
  • Patent number: 8394197
    Abstract: Enhanced corrosion resistance is achieved in a coating by using a germanium-containing precursor and hollow cathode techniques to form a first layer directly on the surface of a workpiece, prior to forming an outer layer, such as a layer of diamond-like carbon (DLC). The use of a germanium or germanium-carbide precursor reduces film stress and enables an increase in the thickness of the subsequently formed DLC. Germanium incorporation also reduces the porosity of the layer. In one embodiment, a cap layer containing germanium is added after the DLC in order to further reduce the susceptibility of the coating to chemical penetration from the top.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: March 12, 2013
    Assignee: Sub-One Technology, Inc.
    Inventors: Andrew W. Tudhope, Thomas B. Casserly, Karthik Boinapally, Deepak Upadhyaya, William J. Boardman
  • Patent number: 8389069
    Abstract: The invention relates to a method for marking an item based on the formation of color centers on a lithium fluoride film. The method provides for the deposition of thin LiF films on the item or on mark supports to be applied to the item and the formation of the color centers by irradiation, thus forming an identification mark. Optionally, the method may provide for the detection of the identification mark and the control of its authenticity. The method may be employed both to guarantee the authenticity of the item and to classify it. The application of the method is particularly advantageous in the field of high value goods and specifically in the field of cultural objects.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 5, 2013
    Assignee: Elettra-Sincrotrone Trieste Societa' Consortile Per Azioni
    Inventors: Luca Gregoratti, Matteo Maria Dalmiglio
  • Publication number: 20130043862
    Abstract: There is provided a biosensor for detecting a thiol group and a method of manufacturing the biosensor. In detail, in the method, Au nano particles are manufactured by irradiating radiation (Step 1), a PTh-EDOT/ITO film is manufactured by forming a poly(thiophene-co-3,4-ethylenedioxythiophene) (PTh-EDOT) layer on an indium tin oxide (ITO) coated substrate using cyclic voltammetry (CV) (Step 2) (Step 2); and a Au nano particle modified PTh-EDOT/ITO film is manufactured by dispersing the Au nano particles manufactured in Step 1 onto the PTh-EDOT/ITO film manufactured in Step 2 (Step 3).
    Type: Application
    Filed: August 16, 2012
    Publication date: February 21, 2013
    Applicant: KOREA ATOMIC ENERGY RESEARCH INSTITUTE
    Inventors: Sang Hyun Park, Yeon Jun Jeong
  • Publication number: 20130040067
    Abstract: Processes, systems, and apparatuses are disclosed for forming products from atomized metals and alloys. A stream of molten alloy and/or a series of droplets of molten alloy are produced. The molten alloy is atomized to produce electrically-charged particles of the molten alloy by impinging electrons on the stream of molten alloy and/or the series of droplets of molten alloy. The electrically-charged molten alloy particles are accelerated with at least one of an electrostatic field and an electromagnetic field. The accelerating molten alloy particles are cooled to a temperature that is less than a solidus temperature of the molten alloy particles so that the molten alloy particles solidify while accelerating. The solid alloy particles are impacted onto a substrate and the impacting particles deform and metallurgically bond to the substrate to produce a solid alloy preform.
    Type: Application
    Filed: August 11, 2011
    Publication date: February 14, 2013
    Applicant: ATI Properties, Inc.
    Inventors: Richard L. Kennedy, Robin M. Forbes Jones
  • Patent number: 8361561
    Abstract: Provided may be a method of manufacturing a silicon (Si) film by using a Si solution process. According to the method of manufacturing the Si film, the Si film may be manufactured by preparing a Si forming solution. The ultraviolet rays (UV) may be irradiated on the prepared Si forming solution. The Si forming solution may be coated on a substrate and a solvent in the Si forming solution may be coated on the substrate. An electron beam may be irradiated on the Si forming solution from which the solvent is removed.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: January 29, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hyun Lee, Dong-joon Ma
  • Patent number: 8268405
    Abstract: The present invention addresses the problem of conveniently and efficiently decorating nanostructures such as carbon nanotubes with aerosol nanoparticles using electrostatic force directed assembly (“ESFDA”). ESFDA permits size selection as well as control of packing density spacing of nanoparticles. ESFDA is largely material independent allowing different compositions of such nanoparticle-nanotube structures to be produced.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: September 18, 2012
    Assignee: UWM Research Foundation, Inc.
    Inventors: Junhong Chen, Ganhua Lu
  • Patent number: 8268408
    Abstract: A film forming apparatus for forming a film according to an AD method in which separation of the film or generation of hillocks is suppressed when the film formed on a substrate is heat-treated. The apparatus includes: an aerosol generating unit (1-4) for dispersing raw material powder (20) with a gas, thereby aerosolizing the raw material powder (20); a processing unit (6) for processing the raw material powder (20) aerosolized by the aerosol generating unit (1-4) to reduce an amount of impurity, which generates a gas by being heated, adhering to or contained in the raw material powder (20); and an injection nozzle (9) for spraying the aerosolized raw material powder (20) processed by the processing unit (6) toward a substrate (30) to deposit the raw material powder (20) on the substrate (30).
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: September 18, 2012
    Assignee: FUJIFILM Corporation
    Inventor: Tetsu Miyoshi
  • Publication number: 20120164351
    Abstract: A system and method are provided for selectively functionalizing a transducer microarray. The method provides a microarray including a field of transducers exposed to a shared local environment. A difference in the pH associated with the transducers is created. As a result, functional molecules are selectively bound to transducers in response to the pH associated with the transducers. In one aspect, the micro-array also provides a field of transducer pH-generating electrodes, one pH-generating electrode for each transducer, and a counter electrode. The difference in pH associated with the transducers is created by selectively applying a voltage potential between pH-generating electrodes and the counter electrode, to create a difference of pH in regions adjacent to the transducers.
    Type: Application
    Filed: August 4, 2008
    Publication date: June 28, 2012
    Inventor: Andrei L. Gindilis
  • Patent number: 8197898
    Abstract: A method and system for depositing a layer from a vaporized solid precursor. The method includes providing a substrate in a process chamber of a deposition system, forming a precursor vapor by light-induced vaporization of a solid precursor, and exposing the substrate to a process gas containing the precursor vapor to deposit a layer including at least one element from the precursor vapor on the substrate.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: June 12, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Gerrit J. Leusink
  • Publication number: 20120141692
    Abstract: A method for producing a structure including: colliding a photocurable adhesive composition with a light source, at least a portion of which is covered with a transparent member, thereby forming a film of the photocurable adhesive composition on the surface of the transparent member; irradiating the film of the photocurable adhesive composition with light on the surface of the transparent member; and dropping the photocurable adhesive composition irradiated with light on the structure in the region to be coated, thereby applying the photocurable adhesive composition to the region to be coated, thereby preventing an occurrence of uncured areas caused by irregular irradiation with light, and an occurrence of coloration and carbonization caused by excessive irradiation.
    Type: Application
    Filed: November 16, 2011
    Publication date: June 7, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Motoaki Sato
  • Patent number: 8173227
    Abstract: There is provided a recording ink containing: solid constituents, which contain a colorant and a resin, and stay solid in the ink having a temperature of 25° C.; liquid constituents, which have a higher boiling point than a boiling point of water, and stay liquid in the ink having a temperature of 25° C.; and water, wherein a total amount of the solid constituents in the recording ink is in the range of 2.0% by mass or more to less than 20% by mass, wherein a ratio A/B of a total amount of the liquid constituents in the recording ink A to the total amount of the solid constituents in the recording ink B is from 0.70 to 1.75, and wherein the viscosity of the recording ink at 25° C. is 10 mPa s or less.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: May 8, 2012
    Assignee: Ricoh Company, Ltd.
    Inventors: Naoya Morohoshi, Tamotsu Aruga, Michihiko Namba, Akihiko Gotoh, Kiyofumi Nagai
  • Patent number: 8163355
    Abstract: A method includes forming ionic clusters of carbon-containing molecules, which molecules have carbon-carbon sp2 bonds, and accelerating the clusters. A surface of a substrate is irradiated with the clusters. A material is formed on the surface using the carbon from the molecules. The material includes carbon and may optionally include hydrogen. The material may include graphene. The material may form a monolayer. The molecules may include one or more material selected from the group consisting of graphene, carbon allotropes, ethylene, and hydrocarbon molecules containing ethylenic moieties. A fused region may be formed in the substrate as an interface between the substrate and the material. The clusters may have diameters of at least 20 nanometers and may be accelerated to an energy of at least 0.5 keV.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: April 24, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Publication number: 20120037851
    Abstract: A particle has a permanent dipole moment, a film includes the particle, and a method of forming the film includes aligning the particle on a surface. The particle has a permanent dipole moment and includes heterogeneous materials, wherein a positive pole is located in a first material and a negative pole is located in a second material different from the first material. The method includes aligning a particle such that the particle has one dipole moment direction. The film includes the aligned particles.
    Type: Application
    Filed: January 31, 2011
    Publication date: February 16, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD
    Inventors: Kyung-sang CHO, Dae-young CHUNG, Sang-jin LEE
  • Publication number: 20110294672
    Abstract: The invention relates to platinum complexes, to a method for preparing the same and to the use thereof for the chemical vapour deposition of metal platinum. The chemical vapour deposition of platinum onto a substrate is made from a platinum organometallic compound that includes a ligand with a cyclic structure including at least two non-adjacent C?C double bonds, and the platinum organometallic compound has a square-plane structure in which the platinum is bonded to each of the C?C double bonds of the ligand, thereby forming a (C?C)—Pt—(C?C) of 60° to 70°.
    Type: Application
    Filed: January 8, 2010
    Publication date: December 1, 2011
    Applicant: CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE
    Inventors: Pascal Doppelt, Cyril Thurier
  • Publication number: 20110287192
    Abstract: The invention relates to a device for coating a substrate (14) using CVD, in particular for coating with diamond or silicon, wherein a heat conductor array comprising a plurality of elongated heat conductors (2) is provided in a housing (10), said heat conductors extending between a first (1) and a second electrode (8), wherein the heat conductors (2) are held individually tensioned by a tensioning device attached to one end thereof. For the purposes of improving the life of the heat conductors (2), the invention proposes that the tensioning device comprises a tilt arm (5) having a tensioning weight (G), the heat conductor (2) being attached to the first end (E1) of said tilt arm, and the second end thereof substantially being mounted pivotably about a horizontal axis (H).
    Type: Application
    Filed: November 13, 2009
    Publication date: November 24, 2011
    Applicants: CEMECON AG, DIACCON GMBH
    Inventors: Martin Rueffer, Stefan Rosiwal, Christian Bareiss, Walter Reichert, Oliver Lemmer, Marc Perle
  • Patent number: 8058189
    Abstract: A method and apparatus for resisting ballistic impact including an outer energy absorbing assembly having a plurality of interconnected fibers, and a barrier positioned behind the outer energy absorbing assembly. A movement restraint is positioned behind the barrier and a dampener is positioned intermediate the barrier and the restraint.
    Type: Grant
    Filed: February 9, 2008
    Date of Patent: November 15, 2011
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Khosrow Nematollahi, Robert L. Hager