Including Insulated Gate Field Effect Transistor Having Gate Surrounded By Dielectric (i.e., Floating Gate) Patents (Class 438/201)
  • Publication number: 20040180498
    Abstract: A floating gate and a fabricating method of the same. A semiconductor substrate is provided. A gate dielectric layer and a conducting layer are sequentially formed on the semiconductor substrate. A patterned hard mask layer having an opening is formed on the conducting layer, wherein a portion of the conducting layer is exposed through the opening. A spacer is formed on the sidewall of the opening. The patterned hard mask layer is removed. A conducting spacer is formed on the sidewall of the spacer. The exposed conducting layer and the exposed gate dielectric layer are sequentially removed.
    Type: Application
    Filed: March 26, 2004
    Publication date: September 16, 2004
    Applicant: Nanya Technology Corporation
    Inventors: Ying-Cheng Chuang, Chung-Lin Huang
  • Patent number: 6790721
    Abstract: A flash memory cell comprising a series of floating gate devices being connected to one-another through their source electrodes, which are self-aligned to their respective gate electrodes, where a local tungsten interconnect makes a substantially continuous connection to the self-aligned sources. The flash memory cell is formed by forming floating gate devices having their source electrodes connected together by a conductively doped active area, forming a nitride barrier layer overlying each transistor gate, forming a planarized insulation layer over the nitride barrier layer, removing portions of the planarized insulation layer while using the nitride barrier layer to self-align an interconnect via to the source electrodes, forming a tungsten-based interconnect into the interconnect via, the tungsten-based interconnect running a major length of the source electrodes being connected together and making contact therebetween, and forming a tungsten-based drain plug for each floating gate device.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Kelly T. Hurley
  • Patent number: 6784040
    Abstract: A nonvolatile semiconductor memory device has a protective insulating film deposited on each of the side surfaces of a control gate electrode to protect the control gate electrode during the formation of a floating gate electrode, the floating gate electrode opposed to one of the side surfaces of the control gate electrode with the protective insulating film interposed therebetween so as to be capacitively coupled to the control gate electrode, a tunnel insulating film formed between the floating gate electrode and the semiconductor substrate, a drain region formed in a region of the semiconductor substrate containing a portion underlying the floating gate electrode, and a source region formed in a region of the semiconductor substrate opposite to the drain region relative to the control gate electrode.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: August 31, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masataka Kusumi, Fumihiko Noro, Hiromasa Fujimoto, Akihiro Kamada, Shinji Odanaka, Seiki Ogura
  • Patent number: 6784006
    Abstract: A method of manufacturing a semiconductor device, comprises: forming a semiconductor element in a semiconductor active region, and calculating the generation rate of electron hole pairs generated due to impact ionization caused in the semiconductor element; calculating a volume integral of the generation rate at least in an area where the impact ionization is caused; evaluating time-dependent degradations of electrical characteristics of the semiconductor element on the basis of the volume integral; and manufacturing a semiconductor device on the basis of the evaluation.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: August 31, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyoshi Tanimoto, Toshiyuki Enda
  • Patent number: 6784041
    Abstract: A NAND type semiconductor device is disclosed, in which a first insulating film embedded between the memory cell gates and between the memory cell gates and the selecting gate does not contain nitrogen as a major component, a second insulating film is formed on the first insulating film, and an interlayer insulating film is formed on the second insulating film whose major component is different from a manor component of the second insulating film.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: August 31, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuji Takeuchi, Masayuki Ichige, Akira Goda
  • Patent number: 6784039
    Abstract: A new method to form split gate flash memory cells in the manufacture of an integrated circuit device is achieved. The method comprises providing a substrate. Pairs of floating gates are formed overlying the substrate. Common source plugs are formed overlying the substrate and filling spaces between the floating gate pairs. An oxide layer is formed overlying the substrate, the floating gates, and the common source plugs. A conductor layer is deposited overlying the oxide layer. First dielectric spacers are formed on vertical surfaces of the conductor layer. The conductor layer is etched through where not covered by the first dielectric spacers to thereby form word line gates adjacent to the floating gates. Second dielectric spacers are formed on vertical surfaces of the word line gates and the first dielectric spacers to complete the split gate flash memory cells.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: August 31, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Chia-Ta Hsieh
  • Patent number: 6777764
    Abstract: A method of fabricating a semiconductor device is disclosed. A wafer substrate is provided. A first silicon oxide layer is formed over the wafer substrate. A nitride layer is formed over the first silicon oxide layer using a low temperature deposition process. A second silicon oxide layer is formed over the nitride layer. The low temperature process can form a nitride layer for an oxide-nitride-oxide (ONO) dielectric structure at about a temperature of 700° C. By such a process, an ONO dielectric structure can be formed using a low temperature deposition process, which can reduce the thickness of the ONO dielectric structure.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: August 17, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Jung-Yu Hsieh, Tzung-Ting Han
  • Patent number: 6777279
    Abstract: Disclosed are a semiconductor integrated circuit device and a method of manufacturing the same capable of realizing the two-level gate insulator process for the DRAM without increasing the number of manufacturing steps and that of photomasks. After forming a gate electrode of a MISFET which constitutes a memory cell in a memory array region on a semiconductor substrate, the substrate is subjected to thermal treatment (re-oxidation process). At this time, since bird's beak of the thick gate insulating film formed below the sidewall portion of the gate electrode penetrates into the center of the gate electrode, a gate insulating film thicker than the gate insulating film before the re-oxidation process is formed just below the center of the gate electrode.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: August 17, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd., Elpida Memory, Inc.
    Inventors: Chiemi Hashimoto, Yasuhiko Kawashima, Keizo Kawakita, Masahiro Moniwa, Hiroyasu Ishizuka, Akihiro Shimizu
  • Patent number: 6773974
    Abstract: A self aligned method of forming a semiconductor memory array of floating gate memory cells in a semiconductor substrate, along with strap regions interlaced within the array. The array includes word lines and source lines that connect together control gates and source regions from memory cells contained in row within the array. The strap regions include word line strap cells through which the word lines traverse, wherein the word lines completely traverse across the strap regions, and source line strap cells in which the source lines terminate without completely traversing across the strap region. A first plurality of conductive metal contacts are each connected to one of the word lines in one of the word line strap cells. A second plurality of conductive metal contacts are each connected to one of the source lines in one of the source line strap cells.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: August 10, 2004
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Chih Hsin Wang, Amitay Levi
  • Patent number: 6762063
    Abstract: A method of fabricating a non-volatile ferroelectric memory transistor includes forming a bottom electrode; depositing a ferroelectric layer over an active region beyond the margins of the bottom electrode; depositing a top electrode on the ferroelectric layer; and metallizing the structure to form a source electrode, a gate electrode and a drain electrode. A non-volatile ferroelectric memory transistor includes a bottom electrode formed above a gate region, wherein the bottom electrode has a predetermined area within a peripheral boundary; a ferroelectric layer extending over and beyond the bottom electrode peripheral boundary; and a top electrode formed on said ferroelectric layer.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: July 13, 2004
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Fengyan Zhang, Tingkai Li
  • Publication number: 20040129972
    Abstract: A semiconductor device having a memory region in which a memory cell array is formed of non-volatile memory devices arranged in a matrix of a plurality of rows and columns. Each of the non-volatile memory devices has: a word gate formed above a semiconductor layer with a gate insulating layer interposed; an impurity layer formed in the semiconductor layer; and control gates in the form of side walls formed along both side surfaces of the word gate. Each of the control gates consists of a first control gate and a second control gate adjacent to each other; the first control gate is formed on a first insulating layer which is a stack of a first silicon oxide film, a silicon nitride film, and a second silicon oxide film; and the second control gate is formed on a second insulating layer formed of a silicon oxide film.
    Type: Application
    Filed: October 22, 2003
    Publication date: July 8, 2004
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Yoshikazu Kasuya
  • Patent number: 6760258
    Abstract: A new method of fabricating and programming and erasing a Flash EEPROM memory cell is achieved. A tunneling oxide layer is formed overlying a semiconductor substrate. A first polysilicon layer, an interpoly oxide layer and then a second polysilicon layer are deposited. The second polysilicon layer, the interpoly oxide layer, the first polysilicon layer, and the tunneling oxide layer are patterned to form control gates and floating gates for planned Flash EEPROM memory cells. Ions are implanted to form drain junctions where the drain junctions are shallow and abrupt. Ions are implanted to form angled pocket junctions adjacent to the drain junctions. The angled pocket junctions are implanted at a non-perpendicular angle with respect to the semiconductor substrate and are counter-doped to the drain junctions. Ions are implanted to form source junctions that are deeper and less abrupt than the drain junctions.
    Type: Grant
    Filed: January 8, 2003
    Date of Patent: July 6, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Tze Ho Simon Chan, Yung-Tao Lin
  • Patent number: 6759709
    Abstract: A nonvolatile semiconductor memory device including a semiconductor substrate 1, a plurality of memory cells 1a on the semiconductor substrate including transistors having floating gate electrodes and control gate electrodes. Source lines 30 are formed in a self-alignment manner with respect to a control gate electrodes. The surface of the semiconductor substrate 1 has such a periodical unevenness along the source lines 30 which has a diffusion layer 30a that an impurity is distributed along the surface of the semiconductor substrate 1 and a buried diffusion layer 30b that an impurity is distributed at a position deeper than said diffusion layer 30a. The buried diffusion layer 30b connects a plurality of portions of the diffusion layers 30a under the bottom surface 5b of the recess portion 5 to each other.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: July 6, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Shu Shimizu
  • Patent number: 6759314
    Abstract: A thermal nitride film is formed as a gate insulating film on a silicon substrate, and after a gate electrode material is formed on the insulating film, it is patterned to form gate electrodes. After processing the electrodes, part of the gate insulating film other than a portion thereof which lies under the gate electrodes is removed. Further, an insulating film (a post oxidation film) is formed on side walls and upper surfaces of the stacked gate structures and the exposed main surface of the silicon substrate by use of thermal oxidation method.
    Type: Grant
    Filed: September 26, 2000
    Date of Patent: July 6, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Wakako Moriyama, Naoki Kai, Hiroaki Hazama, Keiki Nagai, Yuji Fukazawa, Kazuo Saki, Yoshio Ozawa, Yasumasa Suizu
  • Patent number: 6756640
    Abstract: Memory elements, switching elements, and peripheral circuits to constitute a nonvolatile memory are integrally formed on a substrate by using TFTs. Since semiconductor active layers of memory element TFTs are thinner than those of other TFTs, impact ionization easily occurs in channel regions of the memory element TFTs. This enables low-voltage write/erase operations to be performed on the memory elements, and hence the memory elements are less prone to deteriorate. Therefore, a nonvolatile memory capable of miniaturization can be provided.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: June 29, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Keisuke Hayashi
  • Patent number: 6756268
    Abstract: Methods and devices are disclosed utilizing a phosphorous-doped oxide layer that is added prior to re-oxidation. This allows greater control of the re-oxidation process and greater control of the performance characteristics of semiconductor devices such as flash memory. For flash memory, greater control is gained over programming rates, erase rates, data retention and self align source resistance.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Paul J. Rudeck, Francis Benistant, Kelly Hurley
  • Publication number: 20040121535
    Abstract: A fabrication method for a non-volatile memory includes providing a first metal oxide semiconductor (MOS) transistor having a control gate and a second MOS transistor having a source, a drain, and a floating gate. The first MOS transistor and the second MOS transistor are formed on a well. The method further includes biasing the first MOS with a first biasing voltage to actuate the first MOS transistor, biasing the second MOS transistor with a second biasing voltage to enable the second MOS transistor to generate a gate current, and adjusting capacitances between the floating gate of the second MOS transistor and the drain, the source, the control gate, and the well according to voltage difference between the floating gate of the second MOS transistor and the source of the second MOS transistor.
    Type: Application
    Filed: June 18, 2003
    Publication date: June 24, 2004
    Inventors: Shih-Jye Shen, Wei-Zhe Wong, Ming-Chou Ho, Hsin-Ming Chen
  • Patent number: 6753570
    Abstract: A non-volatile memory device includes insulators between floating gates. The insulators each include both a lower trench-fill insulator portion in a trench in the substrate, and an upper protruding portion that protrudes from the substrate. Floating gates extend between the protruding portions of adjacent insulators, and are in contact with the protruding portions of the adjacent insulators. An interpoly dielectric overlies the floating gates, and a control gate overlies the interpoly dielectric. The insulators and the floating gates may make a substantially planar surface for the interpoly dielectric, which may themselves be planar.
    Type: Grant
    Filed: August 20, 2002
    Date of Patent: June 22, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nicholas H. Tripsas, Kuo-Tung Chang, Mark T. Ramsbey
  • Patent number: 6750090
    Abstract: A self aligned method of forming a semiconductor memory array of floating gate memory cells in a semiconductor substrate having a plurality of spaced apart isolation regions and active regions on the substrate substantially parallel to one another in the column direction. Floating gates are formed in each of the active regions, each having a pair of upwardly extending sharp edges that extend lengthwise parallel to, and are adjacent to, one of the isolation regions. Control gates are each formed with a substantially vertical face portion by covering a portion of a conductive layer with a protective layer, and performing an anisotropic etch to remove the exposed portion of the conductive layer. An insulation sidewall spacer is formed against the vertical face portion. The control gates have protruding portions that extend over the floating gates, including portions of the pair of upwardly extending sharp edges.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: June 15, 2004
    Assignee: Silicon Storage Technology, Inc.
    Inventor: Geeng-Chuan Chern
  • Patent number: 6746940
    Abstract: The electrical performance of a dielectric film for capacitive coupling in an integrated structure is enhanced by forming the polycrystalline electrically conductive layer coupled with the dielectric film substantially unigranular over the coupling area, commonly to be defined by patterning the stacked dielectric and conductive layers. The process forms a polycrystalline silicon film having exceptionally large grains of a size on the same order of magnitude as the dimensions of the patterned details. These exceptionally large grains are obtained by preventing the formation of “precursor nuclei” of subsequent grain formation and growth at the deposition interface with the dielectric that are apparently formed during the first instants of silicon CVD deposition and by successively growing the crystallites at a sufficiently low annealing temperature.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: June 8, 2004
    Assignee: SGS-Thomson Microeletronics S.r.l.
    Inventors: Giuseppe Queirolo, Giovanni Ferroni
  • Patent number: 6746918
    Abstract: A stack-gate non-volatile memory device with a tapered floating-gate structure is disclosed by the present invention, in which the tapered floating-gate structure offers a longer effective channel length to alleviate the punch-through effect and a larger surface area for erasing or programming between the tapered floating-gate structure and the integrated common-source/drain conductive structure. The stack-gate non-volatile memory devices of the present invention are implemented into three contactless array architectures: a contactless NOR-type array, a contacless NAND-type array, and a contactless parallel common-source/drain conductive bit-lines array. The features and advantages of the contactless memory arrays are a smaller cell size of 4F2, a smaller common-source/drain bus-line resistance and capacitance, a higher erasing speed, and a smaller bit/word-line resistance and capacitance, as compared to the prior arts.
    Type: Grant
    Filed: June 14, 2002
    Date of Patent: June 8, 2004
    Assignee: Silicon Based Technology Corp.
    Inventor: Ching-Yuan Wu
  • Patent number: 6747308
    Abstract: An EEPROM (100) comprises a source region (122), a drain region (120); and a polysilicon layer (110). The polysilicon layer (110) comprises a floating gate comprising at least one polysilicon finger (112A-112E) operatively coupling the source region (122) and drain region (120) and a control gate comprising at least one of the polysilicon fingers (112A-112E) capacitively coupled to the floating gate. The EEPROM (100) has a substantially reduce area compared to prior art EEPROM since an n-well region is eliminated.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: June 8, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Jozef C. Mitros, Lily Springer, Roland Bucksch
  • Publication number: 20040099900
    Abstract: A semiconductor device comprises a non-volatile memory including a memory cell array, element isolating regions, a second trench and a word line. The memory cell array is constituted by memory cells which have floating electrodes and are arranged in the shape of a matrix on a semiconductor substrate. Each of the element isolating regions has a first trench formed in the semiconductor substrate and between memory cells adjacent to each other along a gate width direction, and an isolating filler filled in the first trench. The second trench is formed in the isolating filler and between the floating electrodes of the memory cells adjacent to each other along the gate width direction, and is narrow at the bottom thereof. The word line is connected to the memory cells, buried in the second trenches and extending along the gate width direction.
    Type: Application
    Filed: July 3, 2003
    Publication date: May 27, 2004
    Inventors: Tadashi Iguchi, Katsuhiro Ishida, Hiroaki Tsunoda, Hirohisa Iizuka, Hiroaki Hazama, Seiichi Mori
  • Patent number: 6734054
    Abstract: A structure of an ESD protection circuit device located under a pad, protecting an internal circuit and a method of manufacturing the same are disclosed. The ESD protection circuit device having a pad window, located under a pad, includes a semiconductor substrate having a P-well and an N-well. The P-well and the N-well have an interface. A predetermined area, pad window is selected in the substrate. A first STI structure, a second STI structure and a third STI structure are formed in the substrate within the pad window. N-type doped regions are formed P-well and in the N-well. First p-type doped regions are formed in the P-well and in the N-well and second p-type doped regions are formed in the P-well and in the N-well. A first zener diode is formed in the N-well and a second zener diode is formed in the P-well.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: May 11, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Tien-Hao Tang, Shiao-Shien Chen
  • Patent number: 6734055
    Abstract: A method is provided for forming a highly dense stacked gate flash memory cell with a structure having multi floating gates that can assume 4 states and, therefore, store 2 bits at the same time. This is accomplished by providing a semiconductor substrate having gate oxide formed thereon, and shallow trench isolation and a p-well formed therein. A layer of nitride is next formed over the substrate and an opening formed therein. Polysilicon floating gate spacers are formed in the opening. A dielectric layer is then formed over the floating gates followed by the forming of a control gate. The adjacent nitride layer is then removed leaving a multi-level structure comprising a control gate therebetween multi floating gates with the intervening dielectric layer.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: May 11, 2004
    Assignee: Taiwan Semiconductor Manufactoring Company
    Inventors: Chrong Jung Lin, Shui-Hung Chen, Hsin-Ming Chen
  • Publication number: 20040087086
    Abstract: Disclosed are a non-volatile memory device to protect a floating gate from charge loss and a method for forming the same. At least a pair of floating gate lines are formed on a semiconductor substrate. A portion of the substrate between the floating gate lines is etched to form a trench therein. A gap-fill dielectric layer is formed in the trench and also in the gap between the pair of floating gate lines. The gap-fill dielectric layer is implanted with impurities so that positive mobile ions that may permeate the floating gate through the gap-fill dielectric layer can be trapped in the gap-fill dielectric layer.
    Type: Application
    Filed: October 23, 2003
    Publication date: May 6, 2004
    Inventor: Wook-Hyoung Lee
  • Patent number: 6730557
    Abstract: A semiconductor device having a bipolar transistor which is capable of high integration, and a semiconductor device in which the bipolar transistor has good characteristic properties. A process for producing said semiconductor device.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: May 4, 2004
    Assignee: Sony Corporation
    Inventor: Chihiro Arai
  • Publication number: 20040079985
    Abstract: A semiconductor memory device having a gate electrode and a diffusion layer, comprising a plurality of memory cells each of which including the gate electrode and the diffusion layers; a first contact layer connected to one of the diffusion layer of the memory cell; a second contact layer connected to the first contact layer; a bit line connected to the second contact layer; and a conductive layer connected to at least two of the diffusion layers that are other than the diffusion layer connected to the first contact layer, at least two of the diffusion layers being arranged in a direction vertical to the bit line, a height of the conductive layer substantially being same as a height of the first contact layer.
    Type: Application
    Filed: June 25, 2003
    Publication date: April 29, 2004
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Keisuke Yonehama, Eiji Sakagami, Hiromasa Fujimoto, Naoki Koido
  • Publication number: 20040070020
    Abstract: A nonvolatile semiconductor memory device having MONOS type memory cells of increased efficiency by hot electron injection and improved scaling characteristics includes a channel forming region in the vicinity of a surface of a substrate, first and second impurity regions, acting as a source and a drain in operation, formed in the vicinity of the surface of the substrate sandwiching the channel forming region between them, a gate insulating film stacked on the channel forming region and having a plurality of films, and a charge storing means that is formed in the gate insulating film dispersed in the plane facing the channel forming region. A bottom insulating film includes a dielectric film that exhibits a FN type electroconductivity and makes the energy barrier between the bottom insulating film and the substrate lower than that between silicon dioxide and silicon.
    Type: Application
    Filed: December 14, 2000
    Publication date: April 15, 2004
    Inventors: Ichiro Fujiwara, Toshio Kobayashi
  • Publication number: 20040070023
    Abstract: The present invention relates to a semiconductor device and a method of manufacturing the same. The minimum marginal width of an impurity diffusion layer is defined to reduce by a given width. The reduced width of the impurity diffusion layer is compensated for through a silicon growth layer formed on the top of a device isolation film having a relatively higher degree of freedom than the bottom of the device isolation film. Thus, the degree of integration in the semiconductor device can be improved while keeping intact the minimum marginal width of the impurity diffusion layer.
    Type: Application
    Filed: December 5, 2002
    Publication date: April 15, 2004
    Inventors: Nam Sik Kim, Joo Han Shin
  • Patent number: 6720613
    Abstract: A method of fabricating a multi-bit flash memory, having a control gate, a floating gate, a source region, a drain region and a channel region. An isolation region is formed in the floating gate to partition the floating gate into a plurality of conductive blocks. The conductive blocks are arranged in an array with rows extending from the source region to the drain region. Each row of the array has two conductive blocks. Before any data is written to the flash memory, the channel regions under the conductive blocks of the same row have the same threshold voltage, while the channel regions under the conductive blocks of different rows have different threshold voltage.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: April 13, 2004
    Assignee: Macronix International Co., Ltd.
    Inventor: Kent Kuohua Chang
  • Patent number: 6716764
    Abstract: There is disclosed a method of forming contacts and metal lands onto a semiconductor structure at the first level of metallization (M0). The initial structure is a silicon substrate having diffusion regions formed therein and a plurality of gate conductor stacks formed thereon. The structure is passivated by an insulating layer. Contact holes of a first type are etched in the insulating layer to expose some diffusion regions, then filled with doped polysilicon to form conductive studs substantially coplanar with the insulating layer surface. A first mask (M0) is formed at the surface of the structure to expose M0 land recess locations including above said studs. The masked structure is anisotropically dry etched to create M0 land recesses. Next, the M0 mask is removed. A second mask (CS) is formed at the surface of the structure to expose desired contact hole locations of a second type.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: April 6, 2004
    Assignee: International Business Machines Corporation
    Inventors: Christophe Girard, Renzo Maccagnan, Stephane Thioliere
  • Patent number: 6716700
    Abstract: A method of forming a semiconductor memory having rows and columns of memory cells is as follows; forming a plurality of rows of program gate lines from a second layer polysilicon; forming a plurality of rows of select gate lines from a third polysilicon layer; forming a plurality of rows of diffusion source lines: forming a plurality of local bitlines from a first layer metal, the cells along each column being divided into a pre-designated number of groups, and drains of the cells in each group being connected to a local bitline extending across the cells in the group of cells; and forming a plurality of global bitlines from a second layer metal extending along every two columns of cells, each global bitline being configured to selectively provide electrical connection to the local bitlines along the corresponding two columns of cells.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: April 6, 2004
    Assignee: Windbond Electronics Corporation
    Inventors: Chun-Mai Liu, Albert Kordesch, Ming-Bing Chang
  • Patent number: 6713336
    Abstract: A flash memory device having improved gate capacitive coupling ratio between a floating gate and a control gate and a fabrication method therefor. The disclosed flash memory device comprises a semiconductor substrate having a first trench with a width including an active region and an isolation region at either side thereof; an isolation layer formed on the isolation regions of the first trench; a second trench in the first trench defined by the isolation layer and exposing only the active region; a groove-shaped floating gate formed on the surface of the second trench and having a tunnel oxide layer on the lower part thereof; a control gate formed on the floating gate and having a gate insulating layer on the lower part thereof; a source region and a drain region formed in the substrate at both sides of the floating gate; and metal wirings formed to be in contact with the source and drain regions, respectively, through the isolation layer on the substrate.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: March 30, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Hun Shin, Jae Doo Eom
  • Patent number: 6713347
    Abstract: A process for the manufacturing of an integrated circuit including a low operating voltage, high-performance logic circuitry and an embedded memory device having a high operating voltage higher than the low operating voltage of the logic circuitry, providing for: on first portions of a semiconductor substrate, forming a first gate oxide layer for first transistors operating at the high operating voltage; on second portions of the semiconductor substrate, forming a second gate oxide layer for memory cells of the memory device; on the first and second gate oxide layers, forming from a first polysilicon layer gate electrodes for the first transistors, and floating-gate electrodes for the memory cells; forming over the floating-gate electrodes of the memory cells a dielectric layer; on third portions of the semiconductor substrate, forming a third gate oxide layer for second transistors operating at the low operating voltage; on the dielectric layer and on the third portions of the semiconductor substrate, formin
    Type: Grant
    Filed: May 29, 2002
    Date of Patent: March 30, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Paolo Giuseppe Cappelletti, Alfonso Maurelli
  • Publication number: 20040056299
    Abstract: A floating gate (110) of a nonvolatile memory cell is formed in a trench (114) in a semiconductor substrate (220). A dielectric (128) covers the surface of the trench. The wordline (140) has a portion overlying the trench. The cell's floating gate transistor has a first source/drain region (226), a channel region (224), and a second source/drain region (130). The dielectric (128) is stronger against leakage near at least a portion of the first source/drain region (122) than near at least a portion of the channel region. The stronger portion (128.1) of the additional dielectric improves data retention without increasing the programming and erase times if the programming and erase operations do not rely on a current through the stronger portion. Additional dielectric (210) has a portion located below the top surface of the substrate between the trench and a top part of the second source/drain region (130). The second source/drain region has a part located below the additional dielectric and meeting the trench.
    Type: Application
    Filed: September 19, 2002
    Publication date: March 25, 2004
    Inventors: Yi Ding, Vei-Han Chan
  • Patent number: 6706579
    Abstract: The manufacturing method of the invention is applied to production of a semiconductor device including a memory area and a logic circuit area. The method patterns a stopper layer and a first conductive layer in the memory area, while patterning the stopper layer and the first conductive layer in the logic circuit area to create a dummy gate layer on an element separating region in the logic circuit area. The method forms an ONO membrane over the whole surface of the memory area and the logic circuit area, and further forms a second conductive layer above the ONO membrane. The method carries out anisotropic etching of the second conductive layer, so as to form control gates as side walls via the ONO membrane on both side faces of the first conductive layer in at least the memory area.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: March 16, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Yoshikazu Kasuya
  • Patent number: 6706578
    Abstract: The present invention discloses a floating gate for memory of high gate coupling ratio and the method of manufacturing the same. It utilizes a continuously concave-convex surface formed on the floating gate, and by virtue of the increase of the surface area of which to increase the gate coupling ratio with a control gate and further to reduce the working voltages for programming and erasing.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: March 16, 2004
    Assignee: Winbond Electronics Corporation
    Inventor: Hsiu-Ling Ku
  • Publication number: 20040048432
    Abstract: A non-volatile memory device includes a floating gate formed over a semiconductor substrate. At one end of the floating gate, there is a tapered protrusion having a horn-like or bird's beak shape. A control gate covers the floating gate except for the tapered protrusion. Sidewall spacers are formed adjacent to the floating gate and the control gate. An erasing gate is formed over the tapered protrusion of the floating gate.
    Type: Application
    Filed: September 11, 2003
    Publication date: March 11, 2004
    Applicant: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kee Yeol Na, Wook Hyun Kwon
  • Patent number: 6703298
    Abstract: A self-aligned process for fabricating a non-volatile memory cell having two isolated floating gates. The process includes forming a gate dielectric layer over a semiconductor substrate. A floating gate layer is then formed over the gate dielectric layer. A disposable layer is formed over the floating gate layer, and patterned to form a disposable mask having a minimum line width. Sidewall spacers are formed adjacent to the disposable mask, and source/drain regions are implanted in the substrate, using the disposable mask and the sidewall spacers as an implant mask. The disposable mask is then removed, and the floating gate layer is etched through the sidewall spacers, thereby forming a pair of floating gate regions. The sidewall spacers are removed, and an oxidation step is performed, thereby forming an oxide region that surrounds the floating gate regions. A control gate is then formed over the oxide region.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: March 9, 2004
    Assignee: Tower Semiconductor Ltd.
    Inventors: Yakov Roizin, Efraim Aloni, Ruth Shima-Edelstein, Christopher Cork
  • Publication number: 20040043638
    Abstract: After a lower silicon oxide film is formed on a silicon region, a silicon film is formed on the lower silicon oxide film by, for example, a thermal CVD method. Subsequently, the silicon film is completely nitrided by a plasma nitriding method to be replaced by a silicon nitride film. Subsequently, a surface layer of the silicon nitride film is oxidized by a plasma oxidizing method to be replaced by an upper silicon oxide film. An ONO film as a multilayered insulating film composed of the lower silicon oxide film, the silicon nitride film, and the upper silicon oxide film is formed.
    Type: Application
    Filed: August 20, 2003
    Publication date: March 4, 2004
    Applicant: FUJITSU AMD SEMICONDUCTOR LIMITED
    Inventors: Hiroyuki Nansei, Manabu Nakamura, Kentaro Sera, Masahiko Higashi, Yukihiro Utsuno, Hideo Takagi, Tatsuya Kajita
  • Patent number: 6696340
    Abstract: A method for manufacturing a semiconductor device having a non-volatile memory transistor may include the steps of forming a floating gate 22 over a semiconductor layer 10 through a first insulation layer 20, forming a second insulation layer 26 that contacts the floating gate 22, forming a control gate 28 over the second insulation layer 26, forming a source region 14 and a drain region 16 in the semiconductor layer 10, depositing a insulation layer 40 over the semiconductor layer 10, and etching the insulation layer 40 to form a sidewall insulation layer, wherein the etching of the insulation layer 40 is conducted such that the insulation layer 40 remains above the floating gate 40, and the floating gate 22 is not exposed.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: February 24, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Tomoyuki Furuhata
  • Patent number: 6696329
    Abstract: A silicon oxide film is formed by thermal oxidation on condition that the thickness thereof on the surface of a diffusion layer is about 3 nm. As a result, the silicon oxide film with a thickness of about 12 nm is formed on the surface of a source diffusion layer due to enhanced oxidation. Subsequently, after a silicon nitride film is formed on the entire surface, the silicon nitride film in a peripheral transistor region is removed. Thereafter, the resist film is removed, and thermal oxidation is performed in order to grow the silicon oxide film formed on the surface of the diffusion layer. On this occasion, the silicon oxide film formed on the surface of each of the source diffusion layer and the drain diffusion layer is covered with the silicon nitride film, and hence it does not grow.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: February 24, 2004
    Assignee: Fujitsu Limited
    Inventor: Shinichi Nakagawa
  • Publication number: 20040026733
    Abstract: To propose a new channel structure suitable for high efficiency source side injection, and provide a non-volatile semiconductor memory device and a charge injection method using the same. The non-volatile memory device includes a first conductivity type semiconductor substrate (SUB), a first conductivity type inversion layer-forming region (CH1), second conductivity type accumulation layer-forming regions (ACLa, ACL2b), second conductivity type regions (S/D1, S/D2), an insulating film (GD0) and a first conductive layer (CL) formed on the inversion layer-forming region (CH1). A charge accumulation film (GD) and a second conductive layer (WL) are stacked on an upper surface and side surface of the first conductive layer (CL), an exposure surface of the inversion layer-forming region (CH1), and an upper surface of the accumulation layer-forming regions (ACLa, ACLb) and the second conductivity type regions (S/D1, S/D2).
    Type: Application
    Filed: July 23, 2003
    Publication date: February 12, 2004
    Inventors: Hideto Tomiie, Toshio Terano, Toshio Kobayashi
  • Patent number: 6689653
    Abstract: Methods of protecting, and increasing the thickness of, the oxidized silicon nitride (ON), component of an oxidized silicon nitride on silicon oxide (ONO), layer of a non-volatile memory device, during the hydrofluoric (HF), acid type procedures used for peripheral devices simultaneously fabricated with the non-volatile memory device, has been developed. A first method features a silicon nitride layer located only overlying the ONO layer of the non-volatile memory device, formed prior to HF type pre-clean procedures performed prior to gate oxidation procedures used for peripheral devices. After the gate oxidation procedures the silicon nitride capping layer is selectively removed. A second method features a polysilicon capping layer again located only overlying the ONO layer of the non-volatile memory device, again formed prior to HF type pre-clean procedures.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: February 10, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Xavier Teo Leng Seah, Chivukula Subrahmanyam, Rajan Rajgopal
  • Publication number: 20040023451
    Abstract: A non-volatile memory device includes a cell region having a memory gate pattern with a charge storage layer, and a peripheral region having a high-voltage-type gate pattern, a low-voltage-type gate pattern, and a resistor pattern. To fabricate the above memory device, a device isolation layer is formed in a substrate. Gate insulating layers having difference thickness are formed in low-and high-voltage regions of the peripheral region, respectively. A first conductive layer is formed over substantially the entire surface of a gate insulating layer in the peripheral region. A triple layer including a tunneling insulating layer, a charge storage layer, and a blocking insulating layer and a second conductive layer are sequentially formed over substantially the entire surface of the substrate including the first conductive layer.
    Type: Application
    Filed: July 31, 2003
    Publication date: February 5, 2004
    Inventors: Chang-Hyun Lee, Jung-Dal Choi, Wang-Chul Shin
  • Patent number: 6682976
    Abstract: A method for manufacturing a semiconductor memory device includes forming an isolation layer adjacent a diffusion region over a substrate that also has a stacked gate region. A gate oxide layer is formed over the gate region; a first conductive layer over the isolation and gate oxide layers and the diffusion region; a nitride layer over the first conductive layer, the nitride layer having an opening at the isolation layer; and an oxide region in the first conductive layer using the nitride layer as a mask. After removing the nitride layer and the silicon oxide region, an interelectrode dielectric layer is formed over the first conductive layer, and a second conductive layer is formed over the interelectrode dielectric layer. Then, the interelectrode dielectric layer and the first conductive layer over the diffusion portion are removed and a diffusion layer is formed in the substrate of the diffusion portion.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: January 27, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Yasushi Satou, Hiroshi Asaka
  • Publication number: 20040014269
    Abstract: The present invention relates to a method of manufacturing a flash memory device. The method comprises the steps of sequentially depositing a pad oxide film and a pad nitride film on a semiconductor substrate, etching the pad nitride film, the pad oxide film and the substrate using a mask for forming a device isolation film to form a trench in which the top corner of an active region has a dual profile, depositing a trench insulating film on the entire structure to bury the trench, performing a CMP process and a strip process for the trench insulating film to form the trench insulating film the top structure of which has protrusion, forming a well region through an ion implantation process, and forming a tunnel oxide film, a floating gate, a dielectric film and a control gate. Therefore, characteristics of the device can be improved by improving a phenomenon that a tunnel oxide film is made thin.
    Type: Application
    Filed: December 10, 2002
    Publication date: January 22, 2004
    Inventors: Jum Soo Kim, Sung Mun Jung, Jung Ryul Ahn
  • Publication number: 20040002184
    Abstract: A 3D semiconductor memory is described having rail-stacks which define conductive lines and cells. The memory levels are organized in pairs with each pair showing common lines in adjacent levels.
    Type: Application
    Filed: June 27, 2002
    Publication date: January 1, 2004
    Inventor: James M. Cleeves
  • Publication number: 20040000688
    Abstract: Several embodiments of flash EEPROM split-channel cell arrays are described that position the channels of cell select transistors along sidewalls of trenches in the substrate, thereby reducing the cell area. Select transistor gates are formed as part of the word lines and extend downward into the trenches with capacitive coupling between the trench sidewall channel portion and the select gate. In one embodiment, trenches are formed between every other floating gate along a row, the two trench sidewalls providing the select transistor channels for adjacent cells, and a common source/drain diffusion is positioned at the bottom of the trench. A third gate provides either erase or steering capabilities. In another embodiment, trenches are formed between every floating gate along a row, a source/drain diffusion extending along the bottom of the trench and upwards along one side with the opposite side of the trench being the select transistor channel for a cell.
    Type: Application
    Filed: August 8, 2001
    Publication date: January 1, 2004
    Applicant: SanDisk Corporation
    Inventors: Eliyahou Harari, Jack H. Yuan, George Samachisa, Henry Chien