Source Or Drain Doping Patents (Class 438/301)
  • Patent number: 7867858
    Abstract: A method includes forming a first transistor having a first gate dielectric thickness and a first source/drain extension depth, a second transistor having a second gate dielectric thickness and the first source/drain extension depth, and a third transistor having the second gate dielectric thickness and a second source/drain extension depth. The second source/drain extension depth is greater than the first source/drain extension depth. The second gate dielectric thickness is greater than the first gate dielectric thickness. The first transistor is used in a logic circuit. The third transistor is used in an I/O circuit. The second transistor is made without extra processing steps and is better than either the first or third transistor for coupling a power supply terminal to the logic circuit in a power-up mode and decoupling the power supply terminal from the logic circuit in a power-down mode.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: January 11, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Giri Nallapati, Sushama Davar, Robert E. Booth, Michael P. Woo, Mahbub M. Rashed
  • Patent number: 7867863
    Abstract: A transistor structure includes a semiconductor substrate with a first surface, a diffusion region at the first surface of the substrate, a sacrificial gate formed on the diffusion region, and insulating side walls formed adjacent to the sacrificial gate. A metal gate is formed by etching out the sacrificial gate and filling in the space between the insulating side walls with gate metals. Silicided source and drain contacts are formed over the diffusion region between the side walls of two adjacent aluminum gates. One or more oxide layers are formed over the substrate. Vias are formed in the oxide layers by plasma etching to expose the silicided source and drain contacts, which simultaneously oxidizes the aluminum gate metal. A first metal is selectively formed over the silicided contact by electroless deposition, but does not deposit on the oxidized aluminum gate.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: January 11, 2011
    Assignee: Intel Corporation
    Inventor: Peter Chang
  • Patent number: 7863147
    Abstract: A semiconductor device and a fabrication method thereof are provided. The semiconductor device includes a semiconductor substrate which comprise a first type well and a second type well, and a plurality of junction regions therebetween, wherein each of the junction regions adjoins the first and the second type wells. A gate electrode disposed on the semiconductor substrate and overlies at least two of the junction regions. A source and a drain are in the semiconductor substrate oppositely adjacent to the gate electrode.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: January 4, 2011
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Chih-Ping Lin, Pi-Kuang Chuang, Hung-Li Chang, Shih-Ming Chen, Hsiao-Ying Yang, Ya-Sheng Liu
  • Publication number: 20100327375
    Abstract: A method of forming a semiconductor device is provided that includes forming a gate structure atop a substrate and implanting dopants into the substrate to a depth of 10 nm or less from an upper surface of the substrate. In a following step, an anneal is performed with a peak temperature ranging from 1200° C. to 1400° C., and a hold time period ranging from 1 millisecond to 5 milliseconds.
    Type: Application
    Filed: June 25, 2009
    Publication date: December 30, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kam-Leung Lee, Paul A. Ronsheim
  • Patent number: 7858537
    Abstract: With evacuation of interior of a vacuum chamber halted and with gas supply into the vacuum chamber halted, in a state that a mixed gas of helium gas and diborane gas is sealed in the vacuum chamber, a plasma is generated in a vacuum vessel and simultaneously a high-frequency power is supplied to a sample electrode. By the high-frequency power supplied to the sample electrode, boron is introduced to a proximity to the substrate surface.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: December 28, 2010
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Satoshi Maeshima, Bunji Mizuno, Yuichiro Sasaki
  • Publication number: 20100323483
    Abstract: A method of fabricating a semiconductor device is provided. First, a stacked structure is formed on a substrate. The stacked structure includes, from the substrate, a dielectric layer and a conductive gate in order. An ion implant process is performed to form doped regions in the substrate on the opposite sides of the stacked structure. Thereafter, source-side spacer is formed on a sidewall of the stacked structure. A thermal process is performed to activate the doped regions, thereby forming a source in the substrate under the sidewall of the stacked structure having the source-side spacer and a drain in the substrate on another side of the stacked structure.
    Type: Application
    Filed: August 6, 2010
    Publication date: December 23, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Cheng-Ming Yih
  • Patent number: 7851329
    Abstract: A semiconductor device having an EDMOS transistor and a method for forming the same are provided. The semiconductor device includes source and drain regions formed separately in a semiconductor substrate, a first gate insulating layer filling a trench formed in the substrate between the source and drain regions, the first gate insulating layer being adjacent to the drain region and separated from the source region, a second gate insulating layer formed over the substrate between the first gate insulating layer and the source region, the second gate insulating layer being thinner than the first gate insulating layer, a gate electrode formed over the first and second gate insulating layers, and a doped drift region formed in the substrate under the first gate insulating layer, the doped drift region being in contact with the drain region. This reduces the planar area of the EDMOS transistor, thereby achieving highly integrated semiconductor devices.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: December 14, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyun-Soo Shin
  • Patent number: 7851316
    Abstract: A fabrication method of a semiconductor device includes: forming a gate insulating film and a gate electrode on an N type well; forming first source/drain regions by implanting a first element in regions of the N type well on both sides of the gate electrode, the first element being larger than silicon and exhibiting P type conductivity; forming second source/drain regions by implanting a second element in the regions of the N type well on the both sides of the gate electrode, the second element being smaller than silicon and exhibiting P type conductivity; and forming a metal silicide layer on the source/drain regions.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: December 14, 2010
    Assignee: Panasonic Corporation
    Inventor: Hiroyuki Kamada
  • Patent number: 7851315
    Abstract: A field effect transistor and a method of fabricating the field effect transistor. The field effect transistor includes: a silicon body, a perimeter of the silicon body abutting a dielectric isolation; a source and a drain formed in the body and on opposite sides of a channel formed in the body; and a gate dielectric layer between the body and an electrically conductive gate electrode, a bottom surface of the gate dielectric layer in direct physical contact with a top surface of the body and a bottom surface the gate electrode in direct physical contact with a top surface of the gate dielectric layer, the gate electrode having a first region having a first thickness and a second region having a second thickness, the first region extending along the top surface of the gate dielectric layer over the channel region, the second thickness greater than the first thickness.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: December 14, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Andres Bryant, William F. Clark, Jr., Edward Joseph Nowak
  • Patent number: 7851314
    Abstract: A short channel Lateral MOSFET (LMOS) and method are disclosed with interpenetrating drain-body protrusions (IDBP) for reducing channel-on resistance while maintaining high punch-through voltage. The LMOS includes lower device bulk layer; upper source and upper drain region both located atop lower device bulk layer; both upper source and upper drain region are in contact with an intervening upper body region atop lower device bulk layer; both upper drain and upper body region are shaped to form a drain-body interface; the drain-body interface has an IDBP structure with a surface drain protrusion lying atop a buried body protrusion while revealing a top body surface area of the upper body region; gate oxide-gate electrode bi-layer disposed atop the upper body region forming an LMOS with a short channel length defined by the horizontal length of the top body surface area delineated between the upper source region and the upper drain region.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: December 14, 2010
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Shekar Mallikarjunaswamy, Amit Paul
  • Patent number: 7846812
    Abstract: A method of forming trench isolation includes etching first trench lines into semiconductive material of a semiconductor substrate. First isolation material is formed within the first trench lines within the semiconductive material. After forming the first isolation material within the first trench lines, second trench lines are etched into semiconductive material of the substrate between the first trench lines such that the first trench lines and second trench lines alternate. Second isolation material is formed within the second trench lines within the semiconductive material. Alternate and additional aspects are contemplated.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: December 7, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Christopher W. Hill
  • Patent number: 7842578
    Abstract: A method for fabricating an integrated circuit device, e.g., CMOS image sensor. The method includes providing a semiconductor substrate, which has a first device region and a second device region. The method forms a gate polysilicon layer overlying the first and second device regions. The method forms a silicide layer overlying the gate polysilicon layer. The method patterns the silicide layer and gate polysilicon layer to form a first silicided gate structure in the first device region and a second silicided gate structure in the second device region. The method also includes forming a blocking layer overlying the second device region. The method forms a silicide material overlying a first source region and a first drain region associated with the first silicided gate structure, and maintaining a second source region and a second drain region associated with the second silicided gate structure free from any silicide using the blocking layer.
    Type: Grant
    Filed: December 23, 2006
    Date of Patent: November 30, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Zhong Shan Hong, Xian Yong Pu
  • Patent number: 7824968
    Abstract: First example embodiments comprise forming a stress layer over a MOS transistor (such as a LDMOS Tx) comprised of a channel and first, second and third junction regions. The stress layer creates a stress in the channel and the second junction region of the Tx. Second example embodiments comprises forming a MOS FET and at least a dummy gate over a substrate. The MOS is comprised of a gate, channel, source, drain and offset drain. At least one dummy gate is over the offset drain. A stress layer is formed over the MOS and the dummy gate. The stress layer and the dummy gate improve the stress in the channel and offset drain region.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: November 2, 2010
    Assignee: Chartered Semiconductor Manufacturing Ltd
    Inventors: Sanford Chu, Yisuo Li, Guowei Zhang, Purakh Raj Verma
  • Patent number: 7824994
    Abstract: Disclosed is a method of forming memory devices employing halogen ion implantation and diffusion processes. In one illustrative embodiment, the method includes forming a plurality of word line structures above a semiconducting substrate, each of the word line structures comprising a gate insulation layer, performing an LDD ion implantation process to form LDD doped regions in the substrate between the word line structures, performing a halogen ion implantation process to implant atoms of halogen into the semiconducting substrate between the word line structures, and performing at least one anneal process to cause at least some of the atoms of halogen to diffuse into the gate insulation layers on adjacent word line structures.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: November 2, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Kirk Prall, Behnam Moradi, Seiichi Aritome, Di Li, Chris Larsen
  • Publication number: 20100270627
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes providing a substrate; forming at least one gate structure over the substrate; forming a plurality of doped regions in the substrate; forming an etch stop layer over the substrate; removing a first portion of the etch stop layer, wherein a second portion of the etch stop layer remains over the plurality of doped regions; forming a hard mask layer over the substrate; removing a first portion of the hard mask layer, wherein a second portion of the hard mask layer remains over the at least one gate structure; and forming a first contact through the second portion of the hard mask layer to the at least one gate structure, and a second contact through the second portion of the etch stop layer to the plurality of doped regions.
    Type: Application
    Filed: April 22, 2009
    Publication date: October 28, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hong-Dyi Chang, Pei-Chao Su, Kong-Beng Thei, Hun-Jan Tao, Harry Hak-Lay Chuang
  • Patent number: 7820987
    Abstract: An approach for predicting dose repeatability in an ion implantation is described. In one embodiment, an ion source is tuned to generate an ion beam with desired beam current. Beam current measurements are obtained from the tuned ion beam. The dose repeatability is predicted for the ion implantation as a function of the beam current measurements.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: October 26, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan Evans, Norman E. Hussey, Steven R. Walther, Rekha Padmanabhan
  • Patent number: 7820517
    Abstract: In a metal-oxide semiconductor device including first and second source/drain regions of a first conductivity type formed in a semiconductor layer of a second conductivity type proximate an upper surface of the semiconductor layer, a drift region formed in the semiconductor layer proximate the upper surface of the semiconductor layer and at least partially between the first and second source/drain regions, an insulating layer formed on at least a portion of the upper surface of the semiconductor layer, and a gate formed on the insulating layer and at least partially between the first and second source/drain regions, a method for controlling an amount of hot carrier injection degradation in the device includes the steps of: forming a shielding structure on the insulating layer above at least a portion of the drift region and substantially between the gate and the second source/drain region; and adjusting an amount of coverage of the shielding structure over an upper surface of the drift region so as to minimiz
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: October 26, 2010
    Assignee: Agere Systems Inc.
    Inventors: Peter L. Gammel, Isik C. Kizilyalli, Marco G. Mastrapasqua, Muhammed Ayman Shibib, Zhijian Xie, Shuming Xu
  • Publication number: 20100264490
    Abstract: A field effect transistor includes a semiconductor region of a first conductivity type having an upper surface and a lower surface, the lower surface of the semiconductor region extending over and abutting a substrate. A well regions of a second conductivity type is disposed within the semiconductor region. The field effect transistor also includes source regions of the first conductivity type disposed in the well regions and a gate electrode extending over each well region and overlapping a corresponding one of the source regions. Each gate electrode is insulated from the underlying well region by a gate dielectric. At least one LDD region of the first conductivity type is disposed in the semiconductor region between every two adjacent well regions such that the at least one LDD region is in contact with the two adjacent well regions between which it is disposed.
    Type: Application
    Filed: April 16, 2009
    Publication date: October 21, 2010
    Inventors: BRUCE D. MARCHANT, Daniel M. Kinzer
  • Patent number: 7816218
    Abstract: A microelectronic device includes a metal gate with a metal gate upper surface. The metal gate is disposed in an interlayer dielectric first layer. The interlayer dielectric first layer also has an upper surface that is coplanar with the metal gate upper surface. A dielectric etch stop layer is disposed on the metal gate upper surface but not on the interlayer dielectric first layer upper surface.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: October 19, 2010
    Assignee: Intel Corporation
    Inventors: Jason Klaus, Sean King, Willy Rachmady
  • Patent number: 7812413
    Abstract: A semiconductor device is disclosed. The device comprises a first MOSFET transistor. The transistor comprises a substrate, a first high-k dielectric layer upon the substrate, a first dielectric capping layer upon the first high-k dielectric, and a first gate electrode made of a semiconductor material of a first doping level and a first conductivity type upon the first dielectric capping layer. The first dielectric capping layer comprises Scandium.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: October 12, 2010
    Assignees: IMEC, Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Hsun Chang, Lars-Ake Ragnarsson
  • Publication number: 20100244106
    Abstract: Fabrication of an asymmetric field-effect transistor (100) entails defining a gate electrode (262) above, and vertically separated by a gate dielectric layer (260) from, a channel-zone portion (244) of body material of a semiconductor body. Semiconductor dopant is introduced into the body material to define a more heavily doped pocket portion (250) using the gate electrode as a dopant-blocking shield. A spacer (264T) is provided along the gate electrode. The spacer includes (i) a dielectric portion situated along the gate electrode, (ii) a dielectric portion situated along the semiconductor body, and (iii) a filler portion (SC) largely occupying the space between the other two spacer portions. Semiconductor dopant is introduced into the semiconductor body to define a pair of main source/drain portions (240M and 240E) using the gate electrode and the spacer as a dopant-blocking shield. The filler spacer portion is removed to convert the spacer to an L shape (264).
    Type: Application
    Filed: March 27, 2009
    Publication date: September 30, 2010
    Inventors: D. Courtney Parker, Donald M. Archer, Sandeep R. Bahl, Constantin Bulucea, William D. French, Peter B. Johnson, Jeng-Jiun Yang
  • Publication number: 20100244131
    Abstract: An asymmetric insulated-gate field-effect transistor (100 or 102) has a source (240 or 280) and a drain (242 or 282) laterally separated by a channel zone (244 or 284) of body material (180 or 182) of a semiconductor body. A gate electrode (262 or 302) overlies a gate dielectric layer (260 or 300) above the channel zone. A more heavily doped pocket portion (250 or 290) of the body material extends largely along only the source. The source has a main source portion (240M or 280M) and a more lightly doped lateral source extension (240E or 280E). The drain has a main portion (242M or 282M) and a more lightly doped lateral drain extension (242E or 282E). The drain extension is more lightly doped than the source extension. The maximum concentration of the semiconductor dopant defining the two extensions occurs deeper in the drain extension than in the source extension. Additionally or alternatively, the drain extension extends further laterally below the gate electrode than the source extension.
    Type: Application
    Filed: March 27, 2009
    Publication date: September 30, 2010
    Inventors: Constantin Bulucea, William D. French, Sandeep R. Bahl, Jeng-Jiun Yang, D. Courtney Parker, Peter B. Johnson, Donald M. Archer
  • Publication number: 20100232061
    Abstract: Provided is an electric field information reading head for reading information from a surface electric charge of an information storage medium, the electric field information reading head comprising a semiconductor substrate having a resistance region formed in a central part at one end of a surface facing a recording medium, the resistance region being lightly doped with impurities, and source and drain regions formed on both sides of the resistance region, the source region and the drain region being more highly doped with impurities than the resistance region. The source region and the drain region extend along the surface of the semiconductor substrate facing the recording medium, and electrodes are connected electrically with the source region and the drain region respectively. In addition, provided is a method of fabricating the electric field information reading head and a method of mass-producing the electric field information reading head on a wafer.
    Type: Application
    Filed: May 10, 2007
    Publication date: September 16, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ju-hwan Jung, Hyoung-soo Ko, Hong-sik Park, Yong-su Kim, Seung-bum Hong
  • Publication number: 20100230748
    Abstract: A high breakdown voltage MOS transistor capable of reducing a leakage current while reducing an element size as compared with conventional ones is realized. On a P type well, with a channel area ch in between, an N type first impurity diffusion area including a drain area and drain side drift area, and an N type second impurity diffusion area including a source area and a source side drift area are formed. Moreover, a gate electrode is formed, via a gate oxide film, above a part of the first impurity diffusion area, above the channel area and above a part of the second impurity diffusion area. The gate electrode is doped with an N type, and an impurity concentration of portions located above the first and the second impurity diffusion areas is lower than an impurity concentration of a portion located above the channel area.
    Type: Application
    Filed: March 11, 2010
    Publication date: September 16, 2010
    Inventor: Satoshi Hikida
  • Patent number: 7795100
    Abstract: A semiconductor device manufacturing method includes, forming isolation region having an aspect ratio of 1 or more in a semiconductor substrate, forming a gate insulating film, forming a silicon gate electrode and a silicon resistive element, forming side wall spacers on the gate electrode, heavily doping a first active region with phosphorus and a second active region and the resistive element with p-type impurities by ion implantation, forming salicide block at 500° C. or lower, depositing a metal layer covering the salicide block, and selectively forming metal silicide layers. The method may further includes, forming a thick and a thin gate insulating films, and performing implantation of ions of a first conductivity type not penetrating the thick gate insulating film and oblique implantation of ions of the opposite conductivity type penetrating also the thick gate insulating film before the formation of side wall spacers.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: September 14, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Taiji Ema, Hedeyuki Kojima, Toru Anezaki
  • Patent number: 7795094
    Abstract: A recessed dielectric antifuse device includes a substrate and laterally spaced source and drain regions formed in the substrate. A recess is formed between the source and drain regions. A gate and gate oxide are formed in the recess and lightly doped source and drain extension regions contiguous with the laterally spaced source and drain regions are optionally formed adjacent the recess. Programming of the recessed dielectric antifuse is performed by application of power to the gate and at least one of the source region and the drain region to breakdown the dielectric, which minimizes resistance between the gate and the channel.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: September 14, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Dwayne Kreipl
  • Patent number: 7795098
    Abstract: An apparatus and method for manufacturing rotated field effect transistors. The method comprises providing a substrate including a first gate structure and a second gate structure, which are not parallel to each other. The method further includes performing a first ion implant substantially orthogonal to an edge of the first gate structure to form a first impurity region and performing a second ion implant at a direction different than that of the first ion implant and substantially orthogonal to an edge of the second gate structure to form a second impurity region under the edge of the second gate structure.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: September 14, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Andres Bryant, Myung-hee Na, Edward J. Nowak
  • Patent number: 7785972
    Abstract: A method of making a transistor device having silicided source/drain is provided. A gate electrode is formed on a substrate with a gate dielectric layer therebetween. A spacer is formed on sidewalls of the gate electrode. A source/drain is implanted into the substrate. A pre-amorphization implant (PAI) is performed to form an amorphized layer on the source/drain. A post-PAI annealing process is performed to repair defects formed during the PAI process. A metal silicide layer is then formed from the amorphized layer.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: August 31, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Wei Chen, Tzung-Yu Hung, Chun-Chieh Chang
  • Patent number: 7785949
    Abstract: A composite dielectric layer including a nitride layer over an oxide layer serves the dual function of acting as an SMT (stress memorization technique) film while an annealing operation is carried out and then remains partially intact as it is patterned to further serve as an RPO film during a subsequent silicidation process. The need to form and remove two separate dielectric material layers is obviated. The nitride layer protects the oxide layer to alleviate oxide damage during a pre-silicidation PAI (pre-amorphization implant) process thereby preventing oxide attack during a subsequent HF dip operation and preventing nickel silicide spiking through the attacked oxide layer during silicidation.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: August 31, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jyh-Huei Chen
  • Patent number: 7776680
    Abstract: Disclosed herein are embodiments of a method of forming a complementary metal oxide semiconductor (CMOS) device that has at least one high aspect ratio gate structure with a void-free and seam-free metal gate conductor layer positioned on top of a relatively thin high-k gate dielectric layer. These method embodiments incorporate a gate replacement strategy that uses an electroplating process to fill, from the bottom upward, a high-aspect ratio gate stack opening with a metal gate conductor layer. The source of electrons for the electroplating process is a current passed directly through the back side of the substrate. This eliminates the need for a seed layer and ensures that the metal gate conductor layer will be formed without voids or seams. Furthermore, depending upon the embodiment, the electroplating process is performed under illumination to enhance electron flow to a given area (i.e., to enhance plating) or in darkness to prevent electron flow to a given area (i.e., to prevent plating).
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Veeraraghavan S. Basker, John M. Cotte, Hariklia Deligianni, Toshiharu Furukawa, Vamsi K. Paruchuri, William R. Tonti
  • Patent number: 7776697
    Abstract: Semiconductor structures and devices including strained material layers having impurity-free zones, and methods for fabricating same. Certain regions of the strained material layers are kept free of impurities that can interdiffuse from adjacent portions of the semiconductor. When impurities are present in certain regions of the strained material layers, there is degradation in device performance. By employing semiconductor structures and devices (e.g., field effect transistors or “FETs”) that have the features described, or are fabricated in accordance with the steps described, device operation is enhanced.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: August 17, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Matthew T. Currie, Anthony J. Lochtefeld, Richard Hammond, Eugene A. Fitzgerald
  • Patent number: 7776681
    Abstract: A first resist mask and a second resist mask used for forming a gate electrode for a p-channel TFT and a gate electrode for an n-channel TFT are left, and a third resist mask is formed afterwards over a first area where one of the p-channel TFT and the n-channel TFT is to be formed; thus, a source region and a drain region are formed in a semiconductor film of the other one of the p-channel TFT and the n-channel TFT by adding first impurity ions using the second resist mask and the third resist mask. After that, the first resist mask, the second resist mask, and the third resist mask are removed, and a source region and a drain region are formed in a semiconductor film of the one of the p-channel TFT and the n-channel TFT by adding second impurity ions using a fourth resist mask.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: August 17, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Keiichi Sekiguchi
  • Patent number: 7767520
    Abstract: A method for making an electronic device, such as a MOS transistor, including the steps of forming a plurality of semiconductor islands on an electrically functional substrate, printing a first dielectric layer on or over a first subset of the semiconductor islands and optionally a second dielectric layer on or over a second subset of the semiconductor islands, and annealing. The first dielectric layer contains a first dopant, and the (optional) second dielectric layer contains a second dopant different from the first dopant. The dielectric layer(s), semiconductor islands and substrate are annealed sufficiently to diffuse the first dopant into the first subset of semiconductor islands and, when present, the second dopant into the second subset of semiconductor islands.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: August 3, 2010
    Assignee: Kovio, Inc.
    Inventors: Arvind Kamath, James Montague Cleeves, Joerg Rockenberger, Patrick Smith, Fabio Zürcher
  • Patent number: 7767532
    Abstract: A method for manufacturing an EEPROM cell including a dual-gate MOS transistor. The method includes the steps of providing a semiconductor substrate covered with a stack of first and second layers, forming at least one first opening in the second layer, forming, in the first layer, a second opening continuing the first opening, enlarging the first opening by isotropic etching, forming a first doped region in the substrate by implantation through the first enlarged opening, the first doped region taking part in the forming of the transistor drain or source, forming, in the third opening, a thinned-down insulating portion thinner than the first layer, and forming the gates of the MOS transistor at least partially extending over the thinned-down insulating portion.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: August 3, 2010
    Assignee: STMicroelectronics (Rousset) SAS
    Inventor: Stephan Niel
  • Publication number: 20100187642
    Abstract: A semiconductor component that includes a field plate and a semiconductor device and a method of manufacturing the semiconductor component. A body region is formed in a semiconductor material that has a major surface. A gate trench is formed in the epitaxial layer and a gate structure is formed on the gate trench. A source region is formed adjacent the gate trench and extends from the major surface into the body region and a field plate trench is formed that extends from the major surface of the epitaxial layer through the source and through the body region. A field plate is formed in the field plate trench, wherein the field plate is electrically isolated from the sidewalls of the field plate trench. A source-field plate-body contact is made to the source region, the field plate and the body region. A gate contact is made to the gate region.
    Type: Application
    Filed: January 23, 2009
    Publication date: July 29, 2010
    Inventor: Gordon M. Grivna
  • Patent number: 7759207
    Abstract: An integrated circuit system that includes: providing a gate and a spacer formed over a substrate; performing an implant that amorphizes the gate and a source/drain region defined by the spacer; removing the spacer; depositing a stress memorization layer over the integrated circuit system; and transferring a stress from the stress memorization layer to the gate and the source/drain region.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: July 20, 2010
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Elgin Kiok Boone Quek, Pradeep Ramachandramurthy Yelehanka
  • Publication number: 20100178744
    Abstract: An insulating film having Hf and O is formed over a semiconductor substrate. A cap film having oxygen and titanium as constituent elements is formed over the insulating film. The insulating film and cap film are thermally treated in a nitrogen gas or noble gas to diffuse titanium in the cap film into the insulating film to form a gate insulating film. A gate electrode film is formed over the gate insulating film.
    Type: Application
    Filed: December 23, 2009
    Publication date: July 15, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Haruhiko Takahashi, Hiroshi Minakata, Naoyoshi Tamura
  • Patent number: 7754572
    Abstract: A semiconductor device has a semiconductor substrate, a pair of diffusion layers formed in a predetermined regions of the semiconductor substrate, a gate insulation film formed on a region of the semiconductor substrate being interposed between the pair of the diffusion layers, a gate electrode formed on the gate insulation film, insulation films formed on the sides of the gate electrode, each of the insulation films being constructed from one or more layers, sidewall spacers formed on the sides of the gate electrode while the insulation films are interposed between the sidewall spacers and the gate electrode, and highly doped diffusion layers formed in the diffusion layers except for the parts under the insulation films and the sidewall spacers.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: July 13, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Hisayuki Maekawa
  • Publication number: 20100173467
    Abstract: A thin film is used in a semiconductor device manufacturing process. The thin film contains silicon, germanium, and oxygen.
    Type: Application
    Filed: May 19, 2008
    Publication date: July 8, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Yoshihiro Kato, Noriaki Fukiage
  • Patent number: 7749850
    Abstract: In a semiconductor device having a raised source and drain structure, in forming a raised region by etching, etching of an island-like semiconductor film which is an active layer is inhibited. In a method for manufacturing a semiconductor device, an insulating film is formed by oxidizing or nitriding the surface of an island-like semiconductor film, a semiconductor film is formed on a region which is a part of the insulating film, a gate electrode is formed over the insulating film, an impurity element imparting one conductivity type is added to the island-like semiconductor film and the semiconductor film using the gate electrode as a mask, the impurity element is activated by heating the island-like semiconductor film and the semiconductor film, and the part of the insulating film between the island-like semiconductor film and the semiconductor film disappears by heating the island-like semiconductor film and the semiconductor film.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: July 6, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hideto Ohnuma
  • Patent number: 7749911
    Abstract: A T-shaped gate structure and method for forming the same the method including providing a semiconductor substrate comprising at least one overlying sacrificial layer; lithographically patterning a resist layer overlying the at least one sacrificial layer for etching an opening; forming the etched opening through a thickness of the at least one sacrificial layer to expose the semiconductor substrate, said etched opening comprising a tapered cross section having a wider upper portion compared to a bottom portion; and, backfilling the etched opening with a gate electrode material to form a gate structure.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: July 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Cheng Wu, Wen-Ting Chu
  • Patent number: 7745297
    Abstract: The substrate with electrodes is formed of a transparent material onto which is deposited a film (1) of a transparent conductive material of thickness e1 and of refractive index n1, said film being structured to form a set of electrodes (1a) whose contours (8) delimit insulating spaces (3), wherein the insulating spaces (3) are filled with a transparent dielectric material of thickness e2 and of refractive index n2 so that the respective thicknesses of the conductive material and the dielectric material are inversely proportional to the values of the refractive indices of said materials and said dielectric material forms neither depressions nor beads at the contour (8) of the electrodes. A hardcoating layer (7) may be disposed between the substrate (5) and the electrodes and a protective film (9) added. The substrate with electrodes is obtained by UV irradiation through a single mask.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: June 29, 2010
    Assignee: Asulab S.A.
    Inventors: Joachim Grupp, Gian-Carlo Poli, Pierre-Yves Baroni, Estelle Wagner, Patrik Hoffmann
  • Patent number: 7741184
    Abstract: A fin-type field effect transistor (FinFET) has a fin having a center channel portion, end portions comprising source and drain regions, and channel extensions extending from sidewalls of the channel portion of the fin. The structure also includes a gate insulator covering the channel portion and the channel extensions, and a gate conductor on the gate insulator. The channel extensions increase capacitance of the channel portion of the fin.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: June 22, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Andres Bryant, Edward J. Nowak
  • Patent number: 7737014
    Abstract: A stressed film applied across a boundary defined by a structure or a body (e.g. substrate or layer) of semiconductor material provides a change from tensile to compressive stress in the semiconductor material proximate to the boundary and is used to modify boron diffusion rate during annealing and thus modify final boron concentrations. In the case of a field effect transistor, the gate structure may be formed with or without sidewalls to regulate the location of the boundary relative to source/drain, extension and/or halo implants. Different boron diffusion rates can be produced in the lateral and vertical directions and diffusion rates comparable to arsenic can be achieved. Reduction of junction capacitance of both nFETs and pFETs can be achieved simultaneously with the same process steps.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Frederick William Buehrer, Dureseti Chidambarrao, Bruce B. Doris, Hsiang-Jen Huang, Haining Yang
  • Patent number: 7736983
    Abstract: Pipe defects in n-type lightly doped drain (NLDD) regions and n-type source/drain (NDS) regions are associated with arsenic implants, while excess diffusion in NLDD and NSD regions is mainly due to phosphorus interstitial movement. Carbon implantation is commonly used to reduce phosphorus diffusion in the NLDD, but contributes to gated diode leakage (GDL). In high threshold NMOS transistors GDL is commonly a dominant off-state leakage mechanism. This invention provides a method of forming an NMOS transistor in which no carbon is implanted into the NLDD, and the NSD is formed by a pre-amorphizing implant (PAI), a phosphorus implant and a carbon species implant. Use of carbon in the NDS allows a higher concentration of phosphorus, resulting in reduced series resistance and reduced pipe defects. An NMOS transistor with less than 1·1014 cm?2 arsenic in the NSD and a high threshold NMOS transistor formed with the inventive method are also disclosed.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: June 15, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manoj Mehrotra, Shaoping Tang
  • Patent number: 7736978
    Abstract: A trenched field effect transistor is provided that includes (a) a semiconductor substrate, (b) a trench extending a predetermined depth into the semiconductor substrate, (c) a pair of doped source junctions, positioned on opposite sides of the trench, (d) a doped heavy body positioned adjacent each source junction on the opposite side of the source junction from the trench, the deepest portion of the heavy body extending less deeply into said semiconductor substrate than the predetermined depth of the trench, and (e) a doped well surrounding the heavy body beneath the heavy body.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: June 15, 2010
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Brian Sze-Ki Mo, Duc Chau, Steven Sapp, Izak Bencuya, Dean Edward Probst
  • Publication number: 20100136762
    Abstract: Sophisticated gate stacks including a high-k dielectric material and a metal-containing electrode material may be covered by a protection liner, such as a silicon nitride liner, which may be maintained throughout the entire manufacturing sequence at the bottom of the gate stacks. For this purpose, a mask material may be applied prior to removing cap materials and spacer layers that may be used for encapsulating the gate stacks during the selective epitaxial growth of a strain-inducing semiconductor alloy. Consequently, enhanced integrity may be maintained throughout the entire manufacturing sequence, while at the same time one or more lithography processes may be avoided.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 3, 2010
    Inventors: Sven Beyer, Frank Seliger, Gunter Grasshoff
  • Publication number: 20100133612
    Abstract: The use of strained gate electrodes in integrated circuits results in a transistor having improved carrier mobility, improved drive characteristics, and reduced source drain junction leakage. The gate electrode strain can be obtained through non symmetric placement of stress inducing structures as part of the gate electrode.
    Type: Application
    Filed: February 1, 2010
    Publication date: June 3, 2010
    Inventors: Gurtej S. Sandhu, Kunal R. Parekh
  • Patent number: 7727844
    Abstract: Embodiments relate to a gate structure of a semiconductor device and a method of manufacturing the gate structure. An oxide layer may be formed on a silicon substrate before a gate insulating layer is formed. The oxide layer may be etched to form an opening exposing a channel area of the silicon substrate. After forming the gate insulating layer in the opening, a gate conductive layer may be deposited and etched to form a gate. The oxide layer may be continuously etched such that the oxide layer remains at both edge portions of the gate insulating layer. The oxide layer formed at both edge portions of the gate insulating layer may protect the gate insulating layer during a gate etching process, and may improve a reliability of the semiconductor device.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: June 1, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Dae Kyeun Kim
  • Patent number: 7727831
    Abstract: The leakage current generated due to the extension of the depleted layer to the end of the chip is reduced. In MOSFET 100, the depths of the trenches 112 in the gate pad portion 50 and the circumference portion 70 are larger than the depths of the trenches 111 in the cell region 60. Therefore, the depleted layer extending from the cell region 60 along the direction toward the gate pad portion 50 or the direction toward the circumference portion 70 is blocked by the presence of the trench 112. In other words, an extending of the depleted layer can be terminated by disposing the trench 112, so as to avoid reaching the depleted layer to the end of the semiconductor chip. Accordingly, a leakage current generated from the cell region 60 along the direction toward the end of the semiconductor chip can be reduced.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: June 1, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kinya Ohtani